Part Number Hot Search : 
DRDPB16W ATP044SM SK320 VCX162 UPD780 CP2141 097403 MBR1030C
Product Description
Full Text Search
 

To Download XCV100E-6BGG352I Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  ? 2000?2006 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as lis ted at http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 1 architectural description virtex-e array the virtex-e user-programmable gate array, shown in figure 1 , comprises two major configurable elements: con- figurable logic blocks (clbs) and input/output blocks (iobs). ? clbs provide the functional elements for constructing logic ? iobs provide the interface between the package pins and the clbs clbs interconnect through a general routing matrix (grm). the grm comprises an array of routing switches located at the intersections of horizontal and vertical routing channels. each clb nests into a versablock? that also provides local routing resources to connect the clb to the grm. the versaring? i/o interface provides additional routing resources around the periphery of the device. this routing improves i/o rout ability and facilitates pin locking. the virtex-e architecture also includes the following circuits that connect to the grm. ? dedicated block memories of 4096 bits each ? clock dlls for clock-distribution delay compensation and clock domain control ? 3-state buffers (bufts) associated with each clb that drive dedicated segmentable horizontal routing resources values stored in static memory cells control the configurable logic elements and interconnect resources. these values load into the memory cells on power-up, and can reload if necessary to change the function of the device. input/output block the virtex-e iob, figure 2 , features selecti/o+ inputs and outputs that support a wide variety of i/o signalling stan- dards, see ta b l e 1 . the three iob storage elements function either as edge-triggered d-type flip-flops or as level-sensitive latches. each iob has a clock signal (clk) shared by the three flip-flops and independent clock enable signals for each flip-flop. 0 virtex?-e 1.8 v field programmable gate arrays ds022-2 (v2.8) january 16, 2006 00 production product specification r figure 1: virtex-e architecture overview dll dll iobs iobs versaring versaring ds022_01_121099 clbs brams brams brams clbs clbs brams clbs dll dll dll dll dll dll figure 2: virtex-e input/output block (iob) obuft ibuf vref ds022_02_091300 sr clk ice oce o i iq t tce d ce q sr d ce q sr d ce q sr pad programmable delay weak keeper
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 2 production product specification in addition to the clk and ce control signals, the three flip-flops share a set/reset (sr). for each flip-flop, this sig- nal can be independently configured as a synchronous set, a synchronous reset, an asynchronous preset, or an asyn- chronous clear. the output buffer and all of the iob control signals have independent polarity controls. all pads are protected against damage from electrostatic discharge (esd) and from over-voltage transients. after configuration, clamping diodes are connected to v cco with the exception of lvcmos18, lvcmos25, gtl, gtl+, lv d s, a n d lv p e c l . optional pull-up, pull-down and weak-keeper circuits are attached to each pad. prior to configuration all outputs not involved in configuration are forced into their high-imped- ance state. the pull-down resistors and the weak-keeper circuits are inactive, but i/os can optionally be pulled up. the activation of pull-up resistors prior to configuration is controlled on a global basis by the configuration mode pins. if the pull-up resistors are not activated, all the pins are in a high-impedance state. consequently, external pull-up or pull-down resistors must be provided on pins required to be at a well-defined logic leve l prior to configuration. all virtex-e iobs support ieee 1149.1-compatible bound- ary scan testing. input path the virtex-e iob input path routes the input signal directly to internal logic and/ or through an optional input flip-flop. an optional delay element at the d-input of this flip-flop elim- inates pad-to-pad hold time. the delay is matched to the internal clock-distribution delay of the fpga, and when used, assures that the pad-to-pad hold time is zero. each input buffer can be configured to conform to any of the low-voltage signalling standards supported. in some of these standards the input buff er utilizes a user-supplied threshold voltage, v ref . the need to supply v ref imposes constraints on which standards can be used in close prox- imity to each other. see i/o banking . there are optional pull-up and pull-down resistors at each user i/o input for use after configuration. their value is in the range 50 ? 100 k . output path the output path includes a 3-state output buffer that drives the output signal onto the pad. the output signal can be routed to the buffer directly from the internal logic or through an optional iob output flip-flop. the 3-state control of the output can also be routed directly from the internal logic or through a flip-flip that provides syn- chronous enable and disable. each output driver can be individually programmed for a wide range of low-voltage sign alling standards . each output buffer can source up to 24 ma and sink up to 48 ma. drive strength and slew rate controls minimize bus transients. in most signalling standar ds, the output high voltage depends on an externally supplied v cco voltage. the need to supply v cco imposes constraints on which standards can be used in close proximity to each other. see i/o bank- ing . an optional weak-keeper circuit is connected to each out- put. when selected, the circuit monitors the voltage on the pad and weakly drives the pin high or low to match the input signal. if the pin is connected to a multiple-source sig- nal, the weak keeper holds the signal in its last state if all drivers are disabled. maintainin g a valid logic level in this way eliminates bus chatter. since the weak-keeper circuit uses the iob input buffer to monitor the input level, an appropriate v ref voltage must be provided if the signalling standard requires one. the provi- sion of this voltage must comply with the i/o banking rules. i/o banking some of the i/o standards described above require v cco and/or v ref voltages. these voltages are externally sup- plied and connected to device pins that serve groups of iobs, called banks. consequent ly, restrictions exist about which i/o standards can be combined within a given bank. ta bl e 1 : supported i/o standards i/o standard output v cco input v cco input v ref board termination voltag e (v tt ) lvttl 3.3 3.3 n/a n/a lvcmos2 2.5 2.5 n/a n/a lvcmos18 1.8 1.8 n/a n/a sstl3 i & ii 3.3 n/a 1.50 1.50 sstl2 i & ii 2.5 n/a 1.25 1.25 gtl n/a n/a 0.80 1.20 gtl+ n/a n/a 1.0 1.50 hstl i 1.5 n/a 0.75 0.75 hstl iii & iv 1.5 n/a 0.90 1.50 ctt 3.3 n/a 1.50 1.50 agp-2x 3.3 n/a 1.32 n/a pci33_3 3.3 3.3 n/a n/a pci66_3 3.3 3.3 n/a n/a blvds & lvds 2.5 n/a n/a n/a lvpecl 3.3 n/a n/a n/a
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 3 eight i/o banks result from separating each edge of the fpga into two banks, as shown in figure 3 . each bank has multiple v cco pins, all of which must be connected to the same voltage. this voltage is determined by the output standards in use. within a bank, output standards can be mixed only if they use the same v cco . compatible standards are shown in ta bl e 2 . gtl and gtl+ appear under all voltages because their open-drain outputs do not depend on v cco . some input standards require a user-supplied threshold voltage, v ref . in this case, certain user-i/o pins are auto- matically configured as inputs for the v ref voltage. approx- imately one in six of the i/o pins in the bank assume this role. the v ref pins within a bank are interconnected internally and consequently only one v ref voltage can be used within each bank. all v ref pins in the bank, however, must be con- nected to the external voltage source for correct operation. within a bank, inputs that require v ref can be mixed with those that do not. however, only one v ref voltage can be used within a bank. in virtex-e, input buffers with lvttl, lvcmos2, lvcmos18, pci33_3, pci66_3 standards are supplied by v cco rather than v ccint . for these standards, only input and output buffers that have the same v cco can be mixed together. the v cco and v ref pins for each bank appear in the device pin-out tables and diagrams. the diagrams also show the bank affiliation of each i/o. within a given package, the number of v ref and v cco pins can vary depending on the size of device. in larger devices, more i/o pins convert to v ref pins. since these are always a super set of the v ref pins used for smaller devices, it is possible to design a pcb that permits migration to a larger device if necessary. all the v ref pins for the largest device anticipated must be connected to the v ref voltage, and not used for i/o. in smaller devices, some v cco pins used in larger devices do not connect within the package. these unconnected pins can be left unconnected externally, or can be connected to the v cco voltage to permit migration to a larger device if necessary. configurable logic blocks the basic building block of the virtex-e clb is the logic cell (lc). an lc includes a 4-input function generator, carry logic, and a storage element. the output from the function generator in each lc drives both the clb output and the d input of the flip-flop. each virtex-e clb contains four lcs, organized in two similar slices, as shown in figure 4 . figure 5 shows a more detailed view of a single slice. in addition to the four basic lcs, the virtex-e clb contains logic that combines function generators to provide functions of five or six inputs. consequently, when estimating the number of system gates provided by a given device, each clb counts as 4.5 lcs. look-up tables virtex-e function generators are implemented as 4-input look-up tables (luts). in addition to operating as a function generator, each lut can provide a 16 x 1-bit synchronous ram. furthermore, the two luts within a slice can be com- bined to create a 16 x 2-bit or 32 x 1-bit synchronous ram, or a 16 x 1-bit dual-port synchronous ram. the virtex-e lut can also provide a 16-bit shift register that is ideal for capturing high-speed or burst-mode data. this mode can also be used to store data in applications such as digital signal processing. figure 3: virtex-e i/o banks ta bl e 2 : compatible output standards v cco compatible standards 3.3 v pci, lvttl, sstl3 i, sstl3 ii, ctt, agp, gtl, gtl+, lvpecl 2.5 v sstl2 i, sstl2 ii, lvcmos2, gtl, gtl+, blvds, lvds 1.8 v lvcmos18, gtl, gtl+ 1.5 v hstl i, hstl iii, hstl iv, gtl, gtl+ ds022_03_121799 bank 0 gclk3 gclk2 gclk1 gclk0 bank 1 bank 5 bank 4 virtexe device bank 7 bank 6 bank 2 bank 3
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 4 production product specification storage elements the storage elements in the virtex-e slice can be config- ured either as edge-triggered d-type flip-flops or as level-sensitive latches. the d inputs can be driven either by the function generators within the slice or directly from slice inputs, bypassing the function generators. in addition to clock and clock enable signals, each slice has synchronous set and reset signals (sr and by). sr figure 4: 2-slice virtex-e clb f1 f2 f3 f4 g1 g2 g3 g4 carry & control carry & control carry & control carry & control lut cin cin cout cout yq xq xq yq x xb y yb yb y bx by bx by g1 g2 g3 g4 f1 f2 f3 f4 slice 1 slice 0 xb x lut lut lut d ce q rc sp d ce q rc sp d ce q rc sp d ce q rc sp ds022_04_121799 figure 5: detailed view of virtex-e slice by f5in sr clk ce bx yb y yq xb x xq g4 g3 g2 g1 f4 f3 f2 f1 cin 0 1 1 0 f5 f5 ds022_05_092000 cout cy d ce q d ce q f6 ck wso wsh we a4 by dg bx di di o we i3 i2 i1 i0 lut cy i3 i2 i1 i0 o di we lut init init rev rev
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 5 forces a storage element into the initialization state speci- fied for it in the configuration. by forces it into the opposite state. alternatively, these signals can be configured to oper- ate asynchronously. all of the control signals are indepen- dently invertible, and are shared by the two flip-flops within the slice. additional logic the f5 multiplexer in each slice combines the function gen- erator outputs. this combination provides either a function generator that can implement any 5-input function, a 4:1 multiplexer, or selected functions of up to nine inputs. similarly, the f6 multiplexer co mbines the outputs of all four function generators in the clb by selecting one of the f5-multiplexer outputs. this permits the implementation of any 6-input function, an 8:1 multiplexer, or selected func- tions of up to 19 inputs. each clb has four direct feedthrough paths, two per slice. these paths provide extra data input lines or additional local routing that does not consume logic resources. arithmetic logic dedicated carry logic provides fast arithmetic carry capabil- ity for high-speed arithmetic functions. the virtex-e clb supports two separate carry chains, one per slice. the height of the carry chains is two bits per clb. the arithmetic logic includes an xor gate that allows a 2-bit full adder to be implemented within a slice. in addition, a dedicated and gate improves the efficiency of multiplier implementation. the dedicated carry path can also be used to cascade function generato rs for implementing wide logic functions. bufts each virtex-e clb contains two 3-state drivers (bufts) that can drive on-chip buses. see dedicated routing . each virtex-e buft has an independent 3-state control pin and an independent input pin. block selectram virtex-e fpgas incorporate large block selectram memo- ries. these complement the distributed selectram memo- ries that provide shallow ra m structures implemented in clbs. block selectram memory blocks are organized in columns, starting at the left (column 0) and right outside edges and inserted every 12 clb columns (see notes for smaller devices). each memory block is four clbs high, and each memory column extends the full height of the chip, immedi- ately adjacent (to the right, except for column 0) of the clb column locations indicated in ta b l e 3 . ta b l e 4 shows the amount of block selectram memory that is available in each virtex-e device. as illustrated in figure 6 , each block selectram cell is a fully synchronous dual-ported (true dual port) 4096-bit ram with independent control signals for each port. the data widths of the two ports can be configured indepen- dently, providing built-in bus-width conversion. ta b l e 3 : clb/block ram column locations xcv device /col. 01224364860728496108 120 138 156 50e columns 0, 6, 18, & 24 100e columns 0, 12, 18, & 30 200e columns 0, 12, 30, & 42 300e ? 400e ? 600e ? 1000e ?? ?? 1600e ??? ?? 2000e ??? ? 2600e ??? ??? 3200e ??? ??? ta b l e 4 : virtex-e block selectram amounts virtex-e device # of blocks block selectram bits xcv50e 16 65,536 xcv100e 20 81,920 xcv200e 28 114,688 xcv300e 32 131,072 xcv400e 40 163,840 xcv600e 72 294,912 xcv1000e 96 393,216 xcv1600e 144 589,824 xcv2000e 160 655,360 xcv2600e 184 753,664 xcv3200e 208 851,968
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 6 production product specification ta bl e 5 shows the depth and width aspect ratios for the block selectram. the virtex-e block selectram also includes dedicated routing to provide an efficient interface with both clbs and other block selectrams. refer to xapp130 for block selectram timing waveforms. programmable routing matrix it is the longest delay path that limits the speed of any worst-case design. consequently, the virtex-e routing architecture and its place-and-route software were defined in a joint optimization process. this joint optimization mini- mizes long-path delays, and consequently, yields the best system performance. the joint optimization also reduces design compilation times because the architecture is software-friendly. design cycles are correspondingly reduced due to shorter design iteration times. local routing the versablock provides local routing resources (see figure 7 ), providing three types of connections: ? interconnections among the luts, flip-flops, and grm ? internal clb feedback paths that provide high-speed connections to luts within the same clb, chaining them together with minimal routing delay ? direct paths that provide high-speed connections between horizontally adjacent clbs, eliminating the delay of the grm. general purpose routing most virtex-e signals are routed on the general purpose routing, and consequently, the majority of interconnect resources are associated with this level of the routing hier- archy. general-purpose routing resources are located in horizontal and vertical routing channels associated with the clb rows and columns and are as follows: ? adjacent to each clb is a general routing matrix (grm). the grm is the swit ch matrix through which horizontal and vertical routing resources connect, and is also the means by whic h the clb gains access to the general purpose routing. ? 24 single-length lines route grm signals to adjacent grms in each of the four directions. ? 72 buffered hex lines route grm signals to another grms six-blocks away in each one of the four directions. organized in a staggered pattern, hex lines are driven only at their endpoints. hex-line signals can be accessed either at the endpoints or at the midpoint (three blocks from the source). one third of the hex lines are bidirectional, wh ile the remaining ones are uni-directional. ? 12 longlines are buffered, bidirectional wires that distribute signals across the device quickly and efficiently. vertical longlines span the full height of the device, and horizontal ones span the full width of the device. i/o routing virtex-e devices have additional routing resources around their periphery that form an interface between the clb array and the iobs. this additional routing, called the versaring, facilitates pin-sw apping and pin-locking, such that logic redesigns can adapt to existing pcb layouts. time-to-market is reduced, since pc bs and other system components can be manufactured while the logic design is still in progress. figure 6: dual-port block selectram ta bl e 5 : block selectram port aspect ratios width depth addr bus data bus 1 4096 addr<11:0> data<0> 2 2048 addr<10:0> data<1:0> 4 1024 addr<9:0> data<3:0> 8 512 addr<8:0> data<7:0> 16 256 addr<7:0> data<15:0> web enb rstb clkb addrb[#:0] dib[#:0] wea ena rsta clka addra[#:0] dia[#:0] doa[#:0] dob[#:0] ramb4_s#_s# ds022_06_121699 figure 7: virtex-e local routing xcve_ds_007 clb grm to adjacent grm to adjacent grm direct connection to adjacent clb to adjacent grm to adjacent grm direct connection to adjacent clb
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 7 dedicated routing some classes of signal require dedicated routing resources to maximize performance. in the virtex-e architecture, dedi- cated routing resources are provided for two classes of signal. ? horizontal routing resources are provided for on-chip 3-state buses. four partitionable bus lines are provided per clb row, permitting multiple buses within a row, as shown in figure 8 . ? two dedicated nets per clb propagate carry signals vertically to the adjacent clb.global clock distribution network ? dll location clock routing clock routing resources distribute clocks and other signals with very high fanout throughout the device. virtex-e devices include two tiers of clock routing resources referred to as global and local clock routing resources. ? the global routing resources are four dedicated global nets with dedicated input pins that are designed to distribute high-fanout clock signals with minimal skew. each global clock net can drive all clb, iob, and block ram clock pins. the global nets can be driven only by global buffers. there are four global buffers, one for each global net. ? the local clock routing resources consist of 24 backbone lines, 12 across the top of the chip and 12 across bottom. from these lines, up to 12 unique signals per column can be distributed via the 12 longlines in the column. these local resources are more flexible than the global resources since they are not restricted to routing only to clock pins. global clock distribution virtex-e provides high-speed, low-skew clock distribution through the global routing resources described above. a typical clock distribution net is shown in figure 9 . four global buffers are provided, two at the top center of the device and two at the bottom center. these drive the four global nets that in turn drive any clock pin. four dedicated clock pads are provided, one adjacent to each of the global buffers. the input to the global buffer is selected either from these pads or from signals in the gen- eral purpose routing. digital delay-locked loops there are eight dlls (delay-locked loops) per device, with four located at the top and four at the bottom, figure 10 . the dlls can be used to eliminate skew between the clock input pad and the internal clock input pins throughout the device. each dll can drive two global clock networks.the dll monitors the input clock and the distrib- uted clock, and automatically adjusts a clock delay element. additional delay is introduced such that clock edges arrive at internal flip-flops synchronized with clock edges arriving at the input. in addition to eliminating clock-distribution delay, the dll provides advanced control of multiple clock domains. the dll provides four quadrature phases of the source clock, and can double the clock or divide the clock by 1.5, 2, 2.5, 3, 4, 5, 8, or 16. figure 8: buft connections to dedicated horizontal bus lines clb clb clb clb buft_c.eps tri-state lines figure 9: global clock distribution network global clock spine global clock column gclkpad2 gclkbuf2 gclkpad3 gclkbuf3 gclkbuf1 gclkpad1 gclkbuf0 gclkpad0 global clock rows xcve_009
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 8 production product specification the dll also operates as a clock mirror. by driving the out- put from a dll off-chip and then back on again, the dll can be used to deskew a board level clock among multiple devices. to guarantee that the system clock is operating correctly prior to the fpga starting up after configuration, the dll can delay the completion of the configuration process until after it has achieved lock. for more information about dll functionality, see the design consideration section of the data sheet. boundary scan virtex-e devices support all the mandatory boundary scan instructions specified in th e ieee standard 1149.1. a test access port (tap) and registers are provided that imple- ment the extest, intest, sample/preload, bypass, idcode, usercode, and highz instructions. the tap also supports two internal scan chains and configura- tion/readback of the device. the jtag input pins (tdi, tms, tck) do not have a v cco requirement and operate with either 2.5 v or 3.3 v input sig- nalling levels. the output pin (tdo) is sourced from the v cco in bank 2, and for proper operation of lvttl 3.3 v lev- els, the bank should be supplied with 3.3 v. boundary scan operation is independent of individual iob configurations, and unaffected by package type. all iobs, including un-bonded ones, are treated as independent 3-state bidirectional pins in a single scan chain. retention of the bidirectional test capabilit y after configuration facilitates the testing of external interconnections, provided the user design or application is turned off. ta b l e 6 lists the boundary scan instructions supported in virtex-e fpgas. internal signals can be captured during extest by connecting them to un-bonded or unused iobs. they can also be connected to the unused outputs of iobs defined as unidirectional input pins. before the device is configured, all instructions except user1 and user2 are available. after configuration, all instructions are available. duri ng configuration, it is recom- mended that those operations using the boundary scan register (sample/preloa d, intest, extest) not be performed. in addition to the test instructions outlined above, the boundary scan circuitry can be used to configure the fpga, and also to read back the configuration data. figure 11 is a diagram of the virtex-e series boundary scan logic. it includes three bits of data register per iob, the ieee 1149.1 test access port controller, and the instruction register with decodes. figure 10: dll locations xcve_0010 dll dll primary dlls secondary dlls secondary dlls dll dll dll dll dll dll
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 9 instruction set the virtex-e series boundary scan instruction set also includes instructions to configure the device and read back configuration data (cfg_in, cfg_out, and jstart). the complete instruction set is coded as shown in ta bl e 6 .. figure 11: virtex-e family boundary scan logic d q d q iob iob iob iob iob iob iob iob iob iob iob iob iob m u x bypass register iob iob tdo tdi iob iob iob 1 0 1 0 1 0 1 0 1 0 sd le dq d q d q 1 0 1 0 1 0 1 0 dq le sd sd le dq sd le dq iob d q 1 0 dq le sd iob.t data in iob.i iob.q iob.t iob.i shift/ capture clock data register dataout update extest x9016 instruction register ta bl e 6 : boundary scan instructions boundary scan command binary code(4:0) description extest 00000 enables boundary scan extest operation sample/ preload 00001 enables boundary scan sample/preload operation user1 00010 access user-defined register 1 user2 00011 access user-defined register 2 cfg_out 00100 access the configuration bus for read operations. cfg_in 00101 access the configuration bus for write operations. intest 00111 enables boundary scan intest operation usercode 01000 enables shifting out user code idcode 01001 enables shifting out of id code highz 01010 3-states output pins while enabling the bypass register jstart 01100 clock the start-up sequence when startupclk is tck bypass 11111 enables bypass reserved all other codes xilinx reserved instructions ta b l e 6 : boundary scan instructions (continued) boundary scan command binary code(4:0) description
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 10 production product specification data registers the primary data register is the boundary scan register. for each iob pin in the fpga, bonded or not, it includes three bits for in, out, and 3-state control. non-iob pins have appropriate partial bit population if input-only or out- put-only. each extest captur ed-or state captures all in, out, and 3-state pins. the other standard data register is the single flip-flop bypass register. it synchron izes data being passed through the fpga to the next downstream boundary scan device. the fpga supports up to two additional internal scan chains that can be specified using the bscan macro. the macro provides two user pins (sel1 and sel2) which are decodes of the user1 and user2 instructions respec- tively. for these instructions, two corresponding pins (t do1 and tdo2) allow user scan data to be shifted out of tdo. likewise, there are individual clock pins (drck1 and drck2) for each user register. there is a common input pin (tdi) and shared output pins that represent the state of the tap controller (reset, shift, and update). bit sequence the order within each iob is: in, out, 3-state. the input-only pins contribute only the in bit to the boundary scan i/o data register, while the output-only pins contrib- utes all three bits. from a cavity-up view of the chip (as shown in epic), start- ing in the upper right chip corner, the boundary scan data-register bits are ordered as shown in figure 12 . bsdl (boundary scan description language) files for vir- tex-e series devices are available on the xilinx web site in the file download area. identification registers the idcode register is supported. by using the idcode, the device connected to the jtag port can be determined. the idcode register has the following binary format: vvvv:ffff:fffa:aaaa:aaaa:cccc:cccc:ccc1 where v = the die version number f = the family code (05 for virtex-e family) a = the number of clb rows (ranges from 16 for xcv50e to 104 for xcv3200e) c = the company code (49h for xilinx) the usercode register is supported. by using the user- code, a user-programmable identification code can be loaded and shifted out for examination. the identification code (see ta b l e 7 ) is embedded in the bitstream during bit- stream generation and is valid only after configuration. note: attempting to load an incorrect bitstream causes configuration to fail and can damage the device. including boundary scan in a design since the boundary scan pins are dedicated, no special element needs to be added to the design unless an internal data register (user1 or user2) is desired. if an internal data register is used, insert the boundary scan symbol and connect the necessary pins as appropriate. figure 12: boundary scan bit sequence bit 0 ( tdo end) bit 1 bit 2 right half of top-edge iobs (right to left) gclk2 gclk3 left half of top-edge iobs (right to left) left-edge iobs (top to bottom) m1 m0 m2 left half of bottom-edge iobs (left to right) gclk1 gclk0 right half of bottom-edge iobs (left to right) done prog right-edge iobs (bottom to top) cclk (tdi end) 990602001 ta b l e 7 : idcodes assigned to virtex-e fpgas fpga idcode xcv50e v0a10093h xcv100e v0a14093h xcv200e v0a1c093h xcv300e v0a20093h xcv400e v0a28093h xcv600e v0a30093h xcv1000e v0a40093h xcv1600e v0a48093h xcv2000e v0a50093h xcv2600e v0a5c093h xcv3200e v0a68093h
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 11 development system virtex-e fpgas are supported by the xilinx foundation and alliance series cae tools. the basic methodology for virtex-e design consists of three interrelated steps: design entry, implementation, and verification. industry-standard tools are used for design entry and simulation (for example, synopsys fpga express), while xilinx provides proprietary architecture-specific tools for implementation. the xilinx development system is integrated under the xilinx design manager (xdm?) software, providing design- ers with a common user interface regardless of their choice of entry and verification tools. the xdm software simplifies the selection of implementation options with pull-down menus and on-line help. application programs ranging from schematic capture to placement and routing (par) can be accessed through the xdm software. the program command sequence is gener- ated prior to execution, and stored for documentation. several advanced software features facilitate virtex-e design. rpms, for example, are schematic-based macros with relative location constraints to guide their placement. they help ensure optimal implementation of common functions. for hdl design entry, the xilinx fpga foundation develop- ment system provides interfaces to the following synthesis design environments. ? synopsys (fpga compiler, fpga express) ? exemplar (spectrum) ? synplicity (synplify) for schematic design entry, the xilinx fpga foundation and alliance development system provides interfaces to the following schematic-capture design environments. ? mentor graphics v8 (design architect, quicksim ii) ? viewlogic systems (viewdraw) third-party vendors support many other environments. a standard interface-file spec ification, electronic design interchange format (edif), simp lifies file transfers into and out of the deve lopment system. virtex-e fpgas are supported by a unified library of stan- dard functions. this library contains over 400 primitives and macros, ranging from 2-input and gates to 16-bit accumu- lators, and includes arithmetic functions, comparators, counters, data registers, decoders, encoders, i/o functions, latches, boolean functions, multiplexers, shift registers, and barrel shifters. the ?soft macro? portion of the library contains detailed descriptions of common logic functions, but does not con- tain any partitioning or placement information. the perfor- mance of these macros depends, therefore, on the partitioning and placement obtained during implementation. rpms, on the other hand, do contain predetermined parti- tioning and placement information that permits optimal implementation of these functions. users can create their own library of soft macros or rpms based on the macros and primitives in the standard library. the design environment supports hierarchical design entry, with high-level schematics that comprise major functional blocks, while lower-level schematics define the logic in these blocks. these hierarchical design elements are auto- matically combined by the implementation tools. different design entry tools can be combined within a hierarchical design, thus allowing the most convenient entry method to be used for each portion of the design. design implementation the place-and-route tools (par) automatically provide the implementation flow described in this section. the parti- tioner takes the edif net list for the design and maps the logic into the architectural resources of the fpga (clbs and iobs, for example). the placer then determines the best locations for these blocks based on their interconnec- tions and the desired performance. finally, the router inter- connects the blocks. the par algorithms support fully automatic implementation of most designs. for demanding applications, however, the user can exercise various degrees of control over the pro- cess. user partitioning, placement, and routing information is optionally specified during the design-entry process. the implementation of highly structured designs can benefit greatly from basic floor planning. the implementation software incorporates timing wizard ? timing-driven placement and routing. designers specify tim- ing requirements along entire paths during design entry. the timing path analysis routines in par then recognize these user-specified requirements and accommodate them. timing requirements are entered on a schematic in a form directly relating to the system requirements, such as the tar- geted clock frequency, or the maximum allowable delay between two registers. in this way, the overall performance of the system along entire signal paths is automatically tai- lored to user-generated specific ations. specific timing infor- mation for individual nets is unnecessary. design verification in addition to conventional software simulation, fpga users can use in-circuit debuggin g techniques. because xilinx devices are infinitely reprogrammable, designs can be veri- fied in real time without the need for extensive sets of soft- ware simulation vectors. the development system supports both software simulation and in-circuit debugging techniques. for simulation, the system extracts the post-layout timing information from the design database, and back-annotates this information into the net list for use by the simulator. alternatively, the user can verify timing-critical portions of the design using the trce ? static timing analyzer.
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 12 production product specification for in-circuit debugging, an optional download and read- back cable is available. this cable connects the fpga in the target system to a pc or workstation. after downloading the design into the fpga, the designer can single-step the logic, readback the contents of the flip-flops, and so observe the internal logic state. simple modifications can be down- loaded into the system in a matter of minutes. configuration virtex-e devices are configured by loading configuration data into the internal configuration memory. note that attempting to load an incorrect bitstream causes configura- tion to fail and can damage the device. some of the pins used for configuration are dedicated pins, while others can be re-used as general purpose inputs and outputs once configuration is complete. the following are dedicated pins: ? mode pins (m2, m1, m0) ? configuration clock pin (cclk) ?program pin ? done pin ? boundary scan pins (tdi, tdo, tms, tck) depending on the configuration mode chosen, cclk can be an output generated by the fpga, or can be generated externally and provided to the fpga as an input. the program pin must be pulled high prior to reconfiguration. note that some configuration pins can act as outputs. for correct operation, these pins require a v cco of 3.3 v or 2.5 v. at 3.3 v the pins operate as lvttl, and at 2.5 v they operate as lvcmos. all affected pins fall in banks 2 or 3. the configuration pins needed for selectmap (cs, write) are located in bank 1. configuration modes virtex-e supports the following four configuration modes. ? slave-serial mode ? master-serial mode ? selectmap mode ? boundary scan mode (jtag) the configuration mode pins (m2, m1, m0) select among these configuration modes with the option in each case of having the iob pins either pulled up or left floating prior to configuration. the selection codes are listed in ta bl e 8 . configuration through the boundary scan port is always available, independent of the mode selection. selecting the boundary scan mode simply turns off the other modes. the three mode pins have internal pull-up resistors, and default to a logic high if left uncon nected. however, it is recom- mended to drive the configuration mode pins externally. ta bl e 8 : configuration codes configuration mode m2 (1) m1 m0 cclk direction data width serial d out configuration pull-ups (1) master-serial mode 0 0 0 out 1 yes no boundary scan mode 1 0 1 n/a 1 no no selectmap mode 1 1 0 in 8 no no slave-serial mode 1 1 1 in 1 yes no master-serial mode 1 0 0 out 1 yes yes boundary scan mode 0 0 1 n/a 1 no yes selectmap mode 0 1 0 in 8 no yes slave-serial mode 0 1 1 in 1 yes yes notes: 1. m2 is sampled continuously from power up until the end of the c onfiguration. toggling m2 while init is being held externally low can cause the configuration pull-up settings to change.
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 13 ta bl e 9 lists the total number of bits required to configure each device. slave-serial mode in slave-serial mode, the fpga receives configuration data in bit-serial form from a serial prom or other source of serial configuration data. the serial bitstream must be set up at the din input pin a short time before each rising edge of an externally generated cclk. for more detailed information on serial proms, see the prom data sheet at http://www.xilinx.com/bvdocs/publi- cations/ds026.pdf . multiple fpgas can be daisy-chai ned for configuration from a single source. after a particular fpga has been configured, the data for the next device is routed to the dout pin. the maximum capacity for a single lout/dout write is 2 20 -1 (1,048,575) 32-bit words, or 33,554,4000 bits. the data on the dout pin changes on the rising edge of cclk. the change of dout on the rising edge of cclk differs from previous families, but does not cause a problem for mixed configuration chains. this change was made to improve serial configuration rates for virtex and virtex-e only chains. figure 13 shows a full master/slave system. a virtex-e device in slave-serial mode should be connected as shown in the right-most device. slave-serial mode is selected by applying <111> or <011> to the mode pins (m2, m1, m0). a weak pull-up on the mode pins makes slave serial the default mode if the pins are left uncon- nected. however, it is recommended to drive the configura- tion mode pins externally. figure 14 shows slave-serial mode programming switching characteristics. ta b l e 1 0 provides more detail about the characteristics shown in figure 14 . configuration must be delayed until the init pins of all daisy-chained fpgas are high. ta bl e 9 : virtex-e bitstream lengths device # of configuration bits xcv50e 630,048 xcv100e 863,840 xcv200e 1,442,016 xcv300e 1, 875,648 xcv400e 2,693,440 xcv600e 3,961,632 xcv1000e 6,587,520 xcv1600e 8,308,992 xcv2000e 10,159,648 xcv2600e 12,922,336 xcv3200e 16,283,712 ta bl e 1 0 : master/slave serial mode programming switching description figure references symbol values units cclk din setup/hold, slave mode 1/2 t dcc /t ccd 5.0 / 0.0 ns, min din setup/hold, master mode 1/2 t dsck /t ckds 5.0 / 0.0 ns, min dout 3t cco 12.0 ns, max high time 4t cch 5.0 ns, min low time 5t ccl 5.0 ns, min maximum frequency f cc 66 mhz, max frequency tolerance, master mode with respect to nominal +45% ?30%
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 14 production product specification . master-serial mode in master-serial mode, the cclk output of the fpga drives a xilinx serial prom that feeds bit-serial da ta to the din input. the fpga accepts this data on each rising cclk edge. after the fpga has been loaded, the data for the next device in a daisy-chain is presented on the dout pin after the rising cclk edge. the maximum capacity for a single lout/dout write is 2 20 -1 (1,048,575) 32-bit words, or 33,554,4000 bits. the interface is identical to slave-serial except that an inter- nal oscillator is used to generate the configuration clock (cclk). a wide range of frequencies can be selected for cclk, which always starts at a slow default frequency. con- figuration bits then switch cclk to a higher frequency for the remainder of the configuration. switching to a lower fre- quency is prohibited. the cclk frequency is set us ing the configrate option in the bitstream generation software. the maximum cclk fre- quency that can be selected is 60 mhz. when selecting a cclk frequency, ensure that the serial prom and any daisy-chained fpgas are fast enough to support the clock rate. on power-up, the cclk frequency is approximately 2.5 mhz. this frequency is us ed until the configrate bits have been loaded when the frequency changes to the selected configrate. unless a different frequency is speci- fied in the design, the default configrate is 4 mhz. in a full master/slave system ( figure 13 ), the left-most device operates in master-serial mode. the remaining devices operate in slave-serial mode. the sprom reset pin is driven by init , and the ce input is driven by done. there is the potential for contention on the done pin, depending on the start-up sequence options chosen. the sequence of operations necessary to configure a virtex-e fpga serially appears in figure 15 . figure 13: master/slave serial mode circuit diagram virtex-e master serial virtex-e, xc4000xl, slave xc1701l program m2 m0 m1 dout cclk clk 3.3v data ce ceo reset/oe done din init init done program program cclk din dout m2 m0 m1 (low reset option used) 330 xcve_ds_013_050103 n/c n/c note 1 : if none of the virtex fpgas have been selected to drive done, an external pull-up resistor of 330 should be added to the common done line. (for spartan-xl devices, add a 4.7k pull-up resistor.) this pull-up is not needed if the drivedone attribute is set. if used, drivedone should be selected only for the last device in the configuration chain. optional pull-up resistor on done 1 figure 14: slave-serial mode programmi ng switching characteristics 4 t cch 3 t cco 5 t ccl 2 t ccd 1 t dcc din cclk dout (output) x5379_a
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 15 figure 16 shows the timing of master-serial configuration. master-serial mode is selected by a <000> or <100> on the mode pins (m2, m1, m0). ta bl e 1 0 shows the timing infor- mation for figure 16 . at power-up, v cc must rise from 1.0 v to v cc min in less than 50 ms, otherwise dela y configuration by pulling program low until v cc is valid. selectmap mode the selectmap mode is the fastest configuration option. byte-wide data is written into the fpga with a busy flag controlling the flow of data. an external data source provides a byte stream, cclk, a chip select (cs ) signal and a write signal (write ). if busy is asserted (high) by the fpga, the data must be held until busy goes low. data can also be read using the selectmap mode. if write is not asserted, configuration data is read out of the fpga as part of a readback operation. after configuration, the pins of the selectmap port can be used as additional user i/o. alternatively, the port can be retained to permit high-speed 8-bit readback. retention of the selectmap port is selectable on a design-by-design basis when the bitstream is generated. if retention is selected, prohibit constraints are required to prevent the selectmap-port pins from being used as user i/o. multiple virtex-e fpgas can be configured using the selectmap mode, and be made to start-up simultaneously. to configure multiple devices in this way, wire the individual cclk, data, write , and busy pins of all the devices in parallel. the individual devices are loaded separately by asserting the cs pin of each device in turn and writing the appropriate data. see ta bl e 1 1 for selectmap write timing characteristics. write write operations send packets of configuration data into the fpga. the sequence of operations for a multi-cycle write operation is shown below. note that a configuration packet can be split into many such sequences. the packet does not have to complete within one assertion of cs , illustrated in figure 17 . 1. assert write and cs low. note that when cs is asserted on successive cclks, write must remain either asserted or de-asserted. otherwise, an abort is initiated, as described below. 2. drive data onto d[7:0]. note that to avoid contention, the data source should not be enabled while cs is low and write is high. similarly, while write is high, no more that one cs should be asserted. figure 15: serial configuration flowchart apply power set program = high release init if used to delay configuration load a configuration bit high low fpga makes a final clearing pass and releases init when finished. fpga starts to clear configuration memory. ds009_15_111799 configuration completed end of bitstream? yes no once per bitstream, fpga checks data using crc and pulls init low on error. if no crc errors found, fpga enters start-up phase causing done to go high. init? figure 16: master-serial mode programming switching characteristics serial data in cclk (output) serial dout (output) 1 t dsck 2 t ckds ds022_44_071201
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 16 production product specification 3. at the rising edge of cclk: if busy is low, the data is accepted on this clock. if busy is high (from a previous write), the data is not accepted. acceptance instead occurs on the first clock after busy goes low, and the data must be held until this has happened. 4. repeat steps 2 and 3 until all the data has been sent. 5. de-assert cs and write . a flowchart for the write operation is shown in figure 18 . note that if cclk is slower than f ccnh , the fpga never asserts busy, in this case, the above handshake is unnec- essary, and data can simply be entered into the fpga every cclk cycle. abort during a given assertion of cs , the user cannot switch from a write to a read, or vice-versa. this action causes the cur- rent packet command to be aborted. the device remains busy until the aborted operation has completed. following an abort, data is assumed to be unaligned to word bound- aries, and the fpga requires a new synchronization word prior to accepting any new packets. to initiate an abort during a write operation, de-assert write . at the rising edge of cclk, an abort is initiated, as shown in figure 19 . ta bl e 1 1 : selectmap write timing characteristics description symbol units cclk d 0-7 setup/hold 1/2 t smdcc /t smccd 5.0 / 1.7 ns, min cs setup/hold 3/4 t smcscc /t smcccs 7.0 / 1.7 ns, min write setup/hold 5/6 t smccw /t smwcc 7.0 / 1.7 ns, min busy propagation delay 7 t smckby 12.0 ns, max maximum frequency f cc 66 mhz, max maximum frequency with no handshake f ccnh 50 mhz, max figure 17: write operations ds022_45_071702 cclk no write write no write write data[0:7] cs write 3 5 busy 4 6 7 1 2
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 17 boundary scan mode in the boundary scan mode, configuration is done through the ieee 1149.1 test acce ss port. note that the program pin must be pulled high prior to reconfiguration. a low on the program pin resets the tap controller and no jtag operations can be performed. figure 18: selectmap flowchart for write operations apply power release init if used to delay configuration on first fpga program from low to high set write = low enter data source set cs = low on first fpga set cs = high apply configuration byte init? high low yes no busy? low high disable data source set write = high when all done pins are released, done goes high and start-up sequences complete. if no errors, later fpgas enter start-up phase releasing done. if no errors, first fpgas enter start-up phase releasing done. once per bitstream, fpga checks data using crc and pulls init low on error. fpga makes a final clearing pass and releases init when finished. fpga starts to clear configuration memory. for any other fpgas ds003_17_090602 repeat sequence a configuration completed sequence a end of data? yes no figure 19: selectmap write abort waveforms cclk cs write abort data[0:7] busy ds022_46_071702
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 18 production product specification configuration through the tap uses the cfg_in instruc- tion. this instruction allows data input on tdi to be con- verted into data packets for the internal configuration bus. the following steps are required to configure the fpga through the boundary scan port (when using tck as a start-up clock). 1. load the cfg_in instruction into the boundary scan instruction register (ir). 2. enter the shift-dr (sdr) state. 3. shift a configuration bitstream into tdi. 4. return to run-test-idle (rti). 5. load the jstart instruction into ir. 6. enter the sdr state. 7. clock tck through the startup sequence. 8. return to rti. configuration and readback via the tap is always available. the boundary scan mode is selected by a <101> or <001> on the mode pins (m2, m1, m0). for details on tap charac- teristics, refer to xapp139. configuration sequence the configuration of virtex-e devices is a three-phase pro- cess. first, the configuration memory is cleared. next, con- figuration data is loaded into the memory, and finally, the logic is activated by a start-up process. configuration is automatically initiated on power-up unless it is delayed by the user, as described below. the configura- tion process can also be initiated by asserting program . the end of the memory-clearing phase is signalled by init going high, and the completion of the entire process is sig- nalled by done going high. the power-up timing of configuration signals is shown in figure 20 . the corresponding timing characteristics are listed in ta bl e 1 2 . delaying configuration init can be held low using an open-drain driver. an open-drain is required since init is a bidirectional open-drain pin that is held low by the fpga while the con- figuration memory is being cleared. extending the time that the pin is low causes the configuration sequencer to wait. thus, configuration is delayed by preventing entry into the phase where data is loaded. start-up sequence the default start-up sequence is that one cclk cycle after done goes high, the global 3-state signal (gts) is released. this permits device outputs to turn on as neces- sary. one cclk cycle later, the global set/reset (gsr) and glo- bal write enable (gwe) signals are released. this permits figure 20: power-up timing configuration signals vali program vcc cclk output or input m0, m1, m2 (required) tpl ticck ds022_020_071201 tpor init ta bl e 1 2 : power-up timing characteristics description symbol value units power-on reset 1 t por 2.0 ms, max program latency t pl 100.0 s, max cclk (output) delay t icck 0.5 s, min 4.0 s, max program pulse width t program 300 ns, min notes: 1. t por delay is the initialization time required after v ccint and v cco in bank 2 reach the recommended operating voltage.
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 19 the internal storage elements to begin changing state in response to the logic and the user clock. the relative timing of these events can be changed. in addi- tion, the gts, gsr, and gwe events can be made depen- dent on the done pins of multiple devices all going high, forcing the devices to start synchronously. the sequence can also be paused at any stage until lock has been achieved on any or all dlls. readback the configuration data stored in the virtex-e configuration memory can be readback for verification. along with the configuration data it is possible to readback the contents all flip-flops/latches, lut rams, and block rams. this capa- bility is used for real-time debugging. for more detailed information, see applicatio n note xapp138 ?virtex fpga series configuration and readback?. design considerations this section contains more detailed design information on the following features. ? delay-locked loop . . . see page 19 ? blockram . . . see page 24 ? selecti/o . . . see page 31 using dlls the virtex-e fpga series provides up to eight fully digital dedicated on-chip delay-locked loop (dll) circuits which provide zero propagation delay, low clock skew between output clock signals distributed throughout the device, and advanced clock domain control. these dedicated dlls can be used to implement several circuits which improve and simplify system level design. introduction as fpgas grow in size, quality on-chip clock distribution becomes increasingly important. clock skew and clock delay impact device performance and the task of managing clock skew and clock delay with conventional clock trees becomes more difficult in large devices. the virtex-e series of devices resolve this potential problem by providing up to eight fully digital dedicated on-chip dll circuits, which pro- vide zero propagation delay and low clock skew between output clock signals distributed throughout the device. each dll can drive up to two global clock routing networks within the device. the global clock distribution network min- imizes clock skews due to loading differences. by monitor- ing a sample of the dll output clock, the dll can compensate for the delay on the routing network, effectively eliminating the delay from the external input port to the indi- vidual clock loads within the device. in addition to providing zero delay with respect to a user source clock, the dll can provide multiple phases of the source clock. the dll can also act as a clock doubler or it can divide the user source clock by up to 16. clock multiplication gives the designer a number of design alternatives. for instance, a 50 mhz source clock doubled by the dll can drive an fpga design operating at 100 mhz. this technique can simplify board design because the clock path on the board no longer distributes such a high-speed signal. a multiplied clock also provides design- ers the option of time-domain-multiplexing, using one circuit twice per clock cycle, consuming less area than two copies of the same circuit. two dlls in can be connected in series to increase the effective clock multiplication factor to four. the dll can also act as a clock mirror. by driving the dll output off-chip and then back in again, the dll can be used to deskew a board level clock between multiple devices. in order to guarantee the system clock establishes prior to the device ?waking up,? the dll can delay the completion of the device configuration process until after the dll achieves lock. by taking advantage of the dll to remove on-chip clock delay, the designer can grea tly simplify and improve system level design involving high-fanout, high-performance clocks. library dll symbols figure 21 shows the simplified xilinx library dll macro symbol, bufgdll. this macro delivers a quick and effi- cient way to provide a system clock with zero propagation delay throughout the device. figure 22 and figure 23 show the two library dll primitives. these symbols provide access to the complete set of dll features when imple- menting more complex applications. figure 21: simplified dll macro symbol bufgdll 0ns ds022_25_121099 o i
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 20 production product specification bufgdll pin descriptions use the bufgdll macro as the simplest way to provide zero propagation delay for a high-fanout on-chip clock from an external input. this macro uses the ibufg, clkdll and bufg primitives to implemen t the most basic dll applica- tion as shown in figure 24 . this symbol does not provide access to the advanced clock domain controls or to the cloc k multiplication or clock divi- sion features of the dll. this symbol also does not provide access to the rst, or locked pins of the dll. for access to these features, a designer must use the library dll prim- itives described in the following sections. source clock input ? i the i pin provides the user source clock, the clock signal on which the dll operates, to the bufgdll. for the buf- gdll macro the source clock frequency must fall in the low frequency range as specified in the data sheet. the buf- gdll requires an external signal source clock. therefore, only an external input port can source the signal that drives the bufgdll i pin. clock output ? o the clock output pin o represents a delay-compensated version of the source clock (i) signal. this signal, sourced by a global clock buffer bufg symbol, takes advantage of the dedicated global clock routing resources of the device. the output clock has a 50-50 duty cycle unless you deacti- vate the duty cycle correction property. clkdll primitive pin descriptions the library clkdll primitives provide access to the com- plete set of dll features needed when implementing more complex applications with the dll. source clock input ? clkin the clkin pin provides the user source clock (the clock signal on which the dll operates) to the dll. the clkin frequency must fall in the ranges specified in the data sheet. a global clock buffer (bufg) driven from another clkdll, one of the global clock input buffers (ibufg), or an io_lvds_dll pin on the same edge of the device (top or bottom) must source this clock signal. there are four io_lvds_dll input pins that can be used as inputs to the dlls. this makes a total of eight usable input pins for dlls in the virtex-e family. feedback clock input ? clkfb the dll requires a reference or feedback signal to provide the delay-compensated output. connect only the clk0 or clk2x dll outputs to the feedback clock input (clkfb) pin to provide the necessary feedback to the dll. the feed- back clock input can also be provided through one of the fol- lowing pins. ibufg - global clock input pad io_lvds_dll - the pin adjacent to ibufg if an ibufg sources the clkf b pin, the following special rules apply. 1. an external input port must source the signal that drives the ibufg i pin. 2. the clk2x output must feedback to the device if both the clk0 and clk2x outputs are driving off chip devices. 3. that signal must directly drive only obufs and nothing else. these rules enable the software determine which dll clock output sources the clkfb pin. reset input ? rst when the reset pin rst activates the locked signal deac- tivates within four source clock cycles. the rst pin, active high, must either connect to a dynamic signal or tied to figure 22: standard dll symbol clkdll figure 23: high frequency dll symbol clkdllhf figure 24: bufgdll schematic clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_26_121099 clkdll clk0 clk180 clkdv locked clkin clkfb rst ds022_027_121099 clkdllhf clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_28_121099 clkdll bufg ibufg o i o i
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 21 ground. as the dll delay taps reset to zero, glitches can occur on the dll clock output pins. activation of the rst pin can also severely affect the duty cycle of the clock out- put pins. furthermore, the dll output clocks no longer deskew with respect to one another. for these reasons, rarely use the reset pin unless re-configuring the device or changing the input frequency. 2x clock output ? clk2x the output pin clk2x provides a frequency-doubled clock with an automatic 50/50 duty-cycle correction. until the clkdll has achieved lock, the clk2x output appears as a 1x version of the input cloc k with a 25/75 duty cycle. this behavior allows the dll to lock on the correct edge with respect to source clock. this pin is not available on the clkdllhf primitive. clock divide output ? clkdv the clock divide output pin clkdv provides a lower fre- quency version of the source clock. the clkdv_divide property controls clkdv such that the source clock is divided by n where n is either 1.5, 2, 2.5, 3, 4, 5, 8, or 16. this feature provides automatic duty cycle correction such that the clkdv output pin always has a 50/50 duty cycle, with the exception of noninteger divides in hf mode, where the duty cycle is 1/3 for n=1.5 and 2/5 for n=2.5. 1x clock outputs ? clk[0|90|180|270] the 1x clock output pin clk0 represents a delay-compen- sated version of the source clock (clkin) signal. the clkdll primitive provides three phase-shifted versions of the clk0 signal while clkdll hf provides only the 180 phase-shifted version. the relationship between phase shift and the corresponding period shift appears in ta bl e 1 3 . the timing diagrams in figure 25 illustrate the dll clock output characteristics. the dll provides duty cycle correction on all 1x clock out- puts such that all 1x clock outputs by default have a 50/50 duty cycle. the duty_cycle_correction property (true by default), controls this feature. in order to deacti- vate the dll duty cycle correction, attach the duty_cycle_correction=false property to the dll symbol. when duty cycle correction deactivates, the output clock has the same duty cycle as the source clock. the dll clock outputs can drive an obuf, a bufg, or they can route directly to destination clock pins. the dll clock outputs can only drive the bufgs that reside on the same edge (top or bottom). locked output ? locked to achieve lock, the dll might need to sample several thou- sand clock cycles. after the dll achieves lock, the locked signal activates. the dll timing parameter sec- tion of the data sheet provides estimates for locking times. to guarantee that the system clock is established prior to the device ?waking up,? the dll can delay the completion of the device configuration process until after the dll locks. the startup_wait property activates this feature. until the locked signal activates, the dll output clocks are not valid and can exhibit glitches, spikes, or other spuri- ous movement. in particular the clk2x output appears as a 1x clock with a 25/75 duty cycle. ta bl e 1 3 : relationship of phase-shifted output clock to period shift phase (degrees) period shift (percent) 00% 90 25% 180 50% 270 75% figure 25: dll output characteristics ds022_29_121099 clkin clk2x clk0 clk90 clk180 clk270 clkdv clkdv_divide=2 duty_cycle_correction=false clk0 clk90 clk180 clk270 duty_cycle_correction=true t 0 90 180 270 0 90 180 270
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 22 production product specification dll properties properties provide access to some of the virtex-e series dll features, (for example, clock division and duty cycle correction). duty cycle correction property the 1x clock outputs, clk0, clk90, clk180, and clk270, use the duty-cycle corrected default, exhibiting a 50/50 duty cycle. the duty_cycle_correction property (by default true) controls this feature. to deactivate the dll duty-cycle correction for the 1x clock outputs, attach the duty_cycle_correction=false property to the dll symbol. clock divide property the clkdv_divide property specifies how the signal on the clkdv pin is frequency divided with respect to the clk0 pin. the values allowed for this property are 1.5, 2, 2.5, 3, 4, 5, 8, or 16; the default value is 2. startup delay property this property, startup_wait, takes on a value of true or false (the default value). when true the device con- figuration done signal waits until the dll locks before going to high. virtex-e dll location constraints as shown in figure 26 , there are four additional dlls in the virtex-e devices, for a total of eight per virtex-e device. these dlls are located in s ilicon, at the to p and bottom of the two innermost block sele ctram columns. the location constraint loc, attached to the dll symbol with the identi- fier dll0s, dll0p, dll1s, dll1p, dll2s, dll2p, dll3s, or dll3p, controls the dll location. the loc property uses the following form: loc = dll0p design factors use the following design considerations to avoid pitfalls and improve success designing with xilinx devices. input clock the output clock signal of a dll, essentially a delayed ver- sion of the input clock signal , reflects any instability on the input clock in the output waveform. for this reason the qual- ity of the dll input clock relates directly to the quality of the output clock waveforms generated by the dll. the dll input clock requirements are specified in the data sheet. in most systems a crystal oscillator generates the system clock. the dll can be used with any commercially available quartz crystal oscillator. for example, most crystal oscilla- tors produce an output waveform with a frequency tolerance of 100 ppm, meaning 0.01 percent change in the clock period. the dll operates reliably on an input waveform with a frequency drift of up to 1 ns ? orders of magnitude in excess of that needed to support any crystal oscillator in the industry. however, the cycle-to-cycle jitter must be kept to less than 300 ps in the low frequencies and 150 ps for the high frequencies. input clock changes changing the period of the input clock beyond the maximum drift amount requires a manual reset of the clkdll. failure to reset the dll produces an unreliable lock signal and out- put clock. it is possible to stop the inpu t clock with little impact to the dll. stopping the clock should be limited to less than 100 s to keep device cooling to a minimum. the clock should be stopped during a low phase, and when restored the full high period should be seen. during this time, locked stays high and remains high when the clock is restored. when the clock is stopped, one to four more clocks are still observed as the delay line is flushed. when the clock is restarted, the output clocks are not observed for one to four clocks as the delay line is f illed. the most common case is two or three clocks. in a similar manner, a phase shift of the input clock is also possible. the phase shift propagates to the output one to four clocks after the original shift, with no disruption to the clkdll control. output clocks as mentioned earlier in the dll pin descriptions, some restrictions apply regarding the connectivity of the output pins. the dll clock outputs can drive an obuf, a global clock buffer bufg, or they can route directly to destination clock pins. the only bufgs that the dll clock outputs can drive are the two on the same edge of the device (top or bot- tom). in addition, the clk2x output of the secondary dll can connect directly to the clkin of the primary dll in the same quadrant. do not use the dll output clock signals until after activation of the locked signal. prior to the activation of the locked signal, the dll output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. figure 26: virtex series dlls x132_14_100799 b r a m dll-3p dll-1p dll-3s dll-1s dll-2s dll-0s dll-2p dll-0p bottom right half edge b r a m b r a m b r a m
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 23 useful appli cation examples the virtex-e dll can be used in a variety of creative and useful applications. the following examples show some of the more common applications. the verilog and vhdl example files are available at: ftp://ftp.xilinx.com/pub/applications/xapp/xapp132.zip standard usage the circuit shown in figure 27 resembles the bufgdll macro implemented to provide access to the rst and locked pins of the clkdll. board level deskew of multiple non-virtex-e devices the circuit shown in figure 28 can be used to deskew a system clock between a virtex-e chip and other non-vir- tex-e chips on the same board. this application is com- monly used when the virtex-e device is used in conjunction with other standard products such as sram or dram devices. while designing the board level route, ensure that the return net delay to the source equals the delay to the other chips involved. board-level deskew is not required for low-fanout clock net- works. it is recommended for systems that have fanout lim- itations on the clock network, or if the clock distribution chip cannot handle the load. do not use the dll output clock signals until after activation of the locked signal. prior to the activation of the locked signal, the dll output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. the dll_mirror_1 files in the xapp132.zip file show the vhdl and verilog implementation of this circuit. deskew of clock and its 2x multiple the circuit shown in figure 29 implements a 2x clock multi- plier and also uses the clk0 clock output with a zero ns skew between registers on the same chip. alternatively, a clock divider circuit can be implemented using similar con- nections. figure 27: standard dll implementation clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_028_121099 clkdll bufg ibufg ibuf obuf figure 28: dll deskew of board level clock figure 29: dll deskew of clock and 2x multiple ds022_029_121099 clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst clkdll obuf ibufg clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst clkdll bufg ibufg non-virtex-e chip non-virtex-e chip other non_virtex-e chips virtex-e device clk0 clk90 clk180 clk270 clk2x clkdv locked clkin clkfb rst ds022_030_121099 clkdll bufg ibufg ibuf obuf bufg
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 24 production product specification because any single dll can access only two bufgs at most, any additional output clock signals must be routed from the dll in this example on the high speed backbone routing. the dll_2x files in the xapp132.zip file show the vhdl and verilog implementation of this circuit. virtex-e 4x clock two dlls located in the same half-edge (top-left, top-right, bottom-right, bottom-left) can be connected together, with- out using a bufg between the clkdlls, to generate a 4x clock as shown in figure 30 . virtex-e devices, like the virtex devices, have four clock networks that are available for inter- nal deskewing of the clock. each of the eight dlls have access to two of the four clock networks. although all the dlls can be used for internal deskewing, the presence of two gclkbufs on the top and two on the bottom indicate that only two of the four dlls on the top (and two of the four dlls on the bottom) can be used for this purpose. the dll_4xe files in the xapp132.zip file show the dll imple- mentation in verilog for virtex-e devices. these files can be found at: ftp://ftp.xilinx.com/pub/applications/xapp/xapp132.zip using block selectram+ features the virtex fpga series provides dedicated blocks of on-chip, true dual-read/write port synchronous ram, with 4096 memory cells. each port of the block selectram+ memory can be independently configured as a read/write port, a read port, a write port, and can be configured to a specific data width. the block selectram+ memory offers new capabilities allowing the fpga designer to simplify designs. operating modes virtex-e block selectram+ memory supports two operating modes: ? read through ? write back read through (one clock edge) the read address is registered on the read port clock edge and data appears on the output after the ram access time. some memories might place the latch/register at the out- puts, depending on whether a faster clock-to-out versus set-up time is desired. this is generally considered to be an inferior solution, since it changes the read operation to an asynchronous function with the possibility of missing an address/control line transition during the generation of the read pulse clock. write back (one clock edge) the write address is registered on the write port clock edge and the data input is written to the memory and mirrored on the output. block selectram+ characteristics ? all inputs are registered with the port clock and have a set-up to clock timing specification. ? all outputs have a read through or write back function depending on the state of the port we pin. the outputs relative to the port clock are available after the clock-to-out timing specification. ? the block selectrams are true sram memories and do not have a combinatorial path from the address to the output. the lut selectram+ cells in the clbs are still available with this function. ? the ports are completely independent from each other ( i.e., clocking, control, address, read/write function, and data width) without arbitration. ? a write operation requires only one clock edge. ? a read operation requires only one clock edge. the output ports are latched with a self timed circuit to guar- antee a glitch free read. the state of the output port does not change until the port executes another read or write operation. library primitives figure 31 and figure 32 show the two generic library block selectram+ primitives. ta b l e 1 4 describes all of the avail- able primitives for synthesis and simulation. figure 30: dll generation of 4x clock in virtex-e devices ds022_031_041901 rst clkfb clkin clkdll-s locked clkdv inv bufg obuf ibufg clk2x clk0 clk90 clk180 clk270 rst clkfb clkin clkdll-p locked clkdv clk2x clk0 clk90 clk180 clk270
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 25 port signals each block selectram+ port operates independently of the others while accessing the same set of 4096 memory cells. ta b l e 1 5 describes the depth and width aspect ratios for the block selectram+ memory. clock?clk[a|b] each port is fully synchronous with independent clock pins. all port input pins have setup time referenced to the port clk pin. the data output bus has a clock-to-out time refer- enced to the clk pin. enable?en[a|b] the enable pin affects the read, write and reset functionality of the port. ports with an inactive enable pin keep the output pins in the previous state and do not write data to the mem- ory cells. write enable?we[a|b] activating the write enable pin allows the port to write to the memory cells. when active, the contents of the data input bus are written to the ram at the address pointed to by the address bus, and the new data also reflects on the data out bus. when inactive, a read operation occurs and the con- tents of the memory cells referenced by the address bus reflect on the data out bus. reset?rst[a|b] the reset pin forces the data output bus latches to zero syn- chronously. this does not affect the memory cells of the ram and does not disturb a write operation on the other port. address bus?addr[a|b]<#:0> the address bus selects the memory cells for read or write. the width of the port determines the required width of this bus as shown in ta b l e 1 5 . data in bus?di[a|b]<#:0> the data in bus provides the new data value to be written into the ram. this bus and the port have the same width, as shown in ta bl e 1 5 . figure 31: dual-port block selectram+ memory figure 32: single-port block selectram+ memory ta bl e 1 4 : available library primitives primitive port a width port b width ramb4_s1 ramb4_s1_s1 ramb4_s1_s2 ramb4_s1_s4 ramb4_s1_s8 ramb4_s1_s16 1 n/a 1 2 4 8 16 ramb4_s2 ramb4_s2_s2 ramb4_s2_s4 ramb4_s2_s8 ramb4_s2_s16 2 n/a 2 4 8 16 ramb4_s4 ramb4_s4_s4 ramb4_s4_s8 ramb4_s4_s16 4 n/a 4 8 16 ramb4_s8 ramb4_s8_s8 ramb4_s8_s16 8 n/a 8 16 ramb4_s16 ramb4_s16_s16 16 n/a 16 web enb rstb clkb addrb[#:0] dib[#:0] wea ena rsta clka addra[#:0] dia[#:0] doa[#:0] dob[#:0] ramb4_s#_s# ds022_032_121399 ds022_033_121399 do[#:0] we en rst clk addr[#:0] di[#:0] ramb4_s# table 15: block selectram+ port aspect ratios width depth addr bus data bus 1 4096 addr<11:0> data<0> 2 2048 addr<10:0> data<1:0> 4 1024 addr<9:0> data<3:0> 8 512 addr<8:0> data<7:0> 16 256 addr<7:0> data<15:0>
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 26 production product specification data output bus?do[a|b]<#:0> the data out bus reflects the contents of the memory cells referenced by the address bus at the last active clock edge. during a write operation, the data out bus reflects the data in bus. the width of this bus equals the width of the port. the allowed widths appear in ta bl e 1 5 . inverting control pins the four control pins (clk, en, we and rst) for each port have independent inversion control as a configuration option. address mapping each port accesses the same set of 4096 memory cells using an addressing scheme dependent on the width of the port. the physical ram location addressed for a particular width are described in the following formula (of interest only when the two ports use different aspect ratios). start = ((addr port +1) * width port ) ?1 end = addr port * width port ta b l e 1 6 shows low order address mapping for each port width. creating larger ram structures the block selectram+ columns have specialized routing to allow cascading blocks together with minimal routing delays. this achieves wider or deeper ram structures with a smaller timing penalty than when usi ng normal routing channels. location constraints block selectram+ instances can have loc properties attached to them to constrain the placement. the block selectram+ placement locations are separate from the clb location naming convention, allowing the loc proper- ties to transfer easily from array to array. the loc properties use the following form. loc = ramb4_r#c# ramb4_r0c0 is the upper left ramb4 location on the device. conflict resolution the block selectram+ memory is a true dual-read/write port ram that allows simultaneous access of the same memory cell from both ports. when one port writes to a given memory cell, the other port must not address that memory cell (for a write or a read) within the clock-to-clock setup window. the following lists specifics of port and mem- ory cell write conflict resolution. ? if both ports write to the same memory cell simultaneously, violating the clock-to-clock setup requirement, consider the data stored as invalid. ? if one port attempts a read of the same memory cell the other simultaneously writes, violating the clock-to-clock setup requirement, the following occurs. - the write succeeds - the data out on the writing port accurately reflects the data written. - the data out on the reading port is invalid. conflicts do not cause any physical damage. single port timing figure 33 shows a timing diagram for a single port of a block selectram+ memory. the block selectram+ ac switching characteristics are specified in the data sheet. the block selectram+ memory is initially disabled. at the first rising edge of th e clk pin, the addr, di, en, we, and rst pins are sampled. the en pin is high and the we pin is low indicating a read operation. the do bus con- tains the contents of the memory location, 0x00, as indi- cated by the addr bus. at the second rising edge of the clk pin, the addr, di, en, wr, and rst pins are sampled again. the en and we pins are high indicating a write operation. the do bus mirrors the di bus. the di bus is written to the memory location 0x0f. at the third rising edge of the clk pin, the addr, di, en, wr, and rst pins are sampled again. the en pin is high and the we pin is low indicating a read operation. the do bus contains the contents of the memory location 0x7e as indicated by the addr bus. at the fourth rising edge of the clk pin, the addr, di, en, wr, and rst pins are sampled again. the en pin is low table 16: port address mapping port width port addresses 1 4095... 1 5 1 4 1 3 1 2 1 1 1 0 0 9 0 8 0 7 0 6 0 5 0 4 0 3 0 2 0 1 0 0 2 2047... 07 06 05 04 03 02 01 00 4 1023... 03 02 01 00 8 511... 01 00 16 255... 00
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 27 indicating that the block se lectram+ memory is now dis- abled. the do bus retains the last value. dual port timing figure 34 shows a timing diagram for a true dual-port read/write block selectram+ memory. the clock on port a has a longer period than the clock on port b. the timing parameter t bccs , (clock-to-clock set-up) is shown on this diagram. the parameter, t bccs is violated once in the dia- gram. all other timing parameters are identical to the single port version shown in figure 33 . t bccs is only of importance when the address of both ports are the same and at least one port is performing a write operation. when the clock-to-clock set-up parameter is vio- lated for a write-write condition, the contents of the memory at that location are invalid. when the clock-to-clock set-up parameter is violated for a write-read condition, the contents of the memory are correct, but the read port has invalid data. at the first rising edge of the clka, memory location 0x00 is to be written with the value 0x aaaa and is mirrored on the doa bus. the last operation of port b was a read to the same memory location 0x00. the dob bus of port b does not change with the new value on port a, and retains the last read value. a short time later, port b executes another read to memory location 0x00, and the dob bus now reflects the new memory value written by port a. at the second rising edge of clka, memory location 0x7e is written with the value 0x9999 and is mirrored on the doa bus. port b then executes a read operation to the same memory location without violating the t bccs parameter and the dob reflects the new memory values written by port a. figure 33: timing diagram for single port block selectram+ memory ds022_0343_121399 clk t bpwh t back addr 00 dddd mem (00) cccc mem (7e) 0f cccc 7e 8f bbbb 2222 din dout en rst we disabled read write read disabled t bdck t beck t bwck t bcko t bpwl
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 28 production product specification at the third rising edge of clka, the t bccs parameter is violated with two writes to memory location 0x0f. the doa and dob buses reflect the contents of the dia and dib buses, but the stored value at 0x0f is invalid. at the fourth rising edge of clka, a read operation is per- formed at memory location 0x0f and invalid data is present on the doa bus. port b also executes a read operation to memory location 0x0f and also reads invalid data. at the fifth rising edge of clka a read operation is per- formed that does not violate the t bccs parameter to the previous write of 0x7e by port b. the doa bus reflects the recently written value by port b. initialization the block selectram+ memory can initialize during the device configuration sequence. the 16 initialization properties of 64 hex values each (a total of 4096 bits) set the initialization of each ram. these properties appear in ta b l e 1 7 . any initial- ization properties not explicitly set configure as zeros. partial initialization strings pad with zeros. initialization strings greater than 64 hex values generate an error. the rams can be simulated with the initialization values using generics in vhdl simulators and parameters in verilog simulators. initialization in vhdl and synopsys the block selectram+ structur es can be initialized in vhdl for both simulation and synthesis for inclusion in the edif output file. the simulation of the vhdl code uses a generic to pass the initialization. synopsys fpga compiler does not presently support generics. the initialization values instead attach as attributes to the ram by a built-in synopsys dc_script. the translate_off statement stops synthesis translation of the generic statements. the following code illustrates a module that employs these techniques. figure 34: timing diagram for a true dual-por t read/write block selectram+ memory ds022_035_121399 clk_a port a port b addr_a 00 7e 0f 00 00 7e 7e 1a 0f 0f 0f 7e aaaa 9999 aaaa 0000 1111 2222 aaaa 9999 aaaa unknown en_a we_a di_a do_a 1111 1111 1111 2222 ffff bbbb 1111 aaaa mem (00) 9999 2222 ffff bbbb unknown clk_b addr_b en_b we_b di_b do_b t bccs violation t bccs t bccs table 17: ram initialization properties property memory cells init_00 255 to 0 init_01 511 to 256 init_02 767 to 512 init_03 1023 to 768 init_04 1279 to 1024 init_05 1535 to 1280 init_06 1791 to 2047 init_07 2047 to 1792 init_08 2303 to 2048 init_09 2559 to 2304 init_0a 2815 to 2560 init_0b 3071 to 2816 init_0c 3327 to 3072 init_0d 3583 to 3328 init_0e 3839 to 3584 init_0f 4095 to 3840
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 29 initialization in verilog and synopsys the block selectram+ structures can be initialized in verilog for both simulation and synthesis for inclusion in the edif output file. the simulation of the verilog code uses a def- param to pass the initialization. the synopsys fpga com- piler does not presently support defparam. the initialization values instead attach as attributes to the ram by a built-in synopsys dc_script. the translate_off statement stops syn- thesis translation of the defparam statements. the following code illustrates a module that employs these techniques. design examples creating a 32-bit single-port ram the true dual-read/write port functionality of the block selectram+ memory allows a single port, 128 deep by 32-bit wide ram to be created using a single block selectram+ cell as shown in figure 35 . interleaving the memory space, setting the lsb of the address bus of port a to 1 (v cc ), and the lsb of the address bus of port b to 0 (gnd), allows a 32-bit wide sin- gle port ram to be created. creating two single-port rams the true dual-read/write port functionality of the block selectram+ memory allows a single ram to be split into two single port memories of 2k bits each as shown in figure 36 . in this example, a 512k x 4 ram (port a) and a 128 x 16 ram (port b) are created out of a single block selectram+. the address space for the ram is split by fixing the msb of port a to 1 (v cc ) for the upper 2k bits and the msb of port b to 0 (gnd) for the lower 2k bits. block memory generation the coregen program generates memory structures using the block selectram+ features. this program outputs vhdl or verilog simulation co de templates and an edif file for inclusion in a design. figure 35: single port 128 x 32 ram web enb rstb clkb addrb[7:0] dib[15:0] wea ena rsta clka addra[7:0] dia[15:0] addr[6:0], v cc clk en rst we clk en rst we di[31:16] addr[6:0], gnd di[15:0] doa[15:0] do[31:16] do[15:0] dob[15:0] ramb4_s16_s16 ds022_036_121399 figure 36: 512 x 4 ram and 128 x 16 ram web enb rstb clkb addrb[7:0] dib[15:0] wea ena rsta clka addra[9:0] dia[3:0] v cc , addr1[8:0] di1[3:0] we1 en1 rst1 clk1 we2 en2 rst2 clk2 gnd, addr2[6:0] di2[15:0] doa[3:0] do1[3:0] do2[15:0] dob[15:0] ramb4_s4_s16 ds022_037_121399
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 30 production product specification vhdl initialization example library ieee; use ieee.std_logic_1164.all; entity mymem is port (clk, we:in std_logic; addr: in std_logic_vector(8 downto 0); din: in std_logic_vector(7 downto 0); dout: out std_logic_vector(7 downto 0)); end mymem; architecture behave of mymem is signal logic0, logic1: std_logic; component ramb4_s8 --synopsys translate_off generic( init_00,init_01, init_02, init_03, init_04, init_05, init_06, init_07, init_08, init_09, init_0a, init_0b, init_0c, init_0d, init_0e, init_0f : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"); --synopsys translate_on port (we, en, rst, clk: in std_logic; addr: in std_logic_vector(8 downto 0); di: in std_logic_vector(7 downto 0); do: out std_logic_vector(7 downto 0)); end component; --synopsys dc_script_begin --set_attribute ram0 init_00 "0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef" -type string --set_attribute ram0 init_01 "fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210" -type string --synopsys dc_script_end begin logic0 <=?0?; logic1 <=?1?; ram0: ramb4_s8 --synopsys translate_off generic map ( init_00 => x"0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef", init_01 => x"fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210") --synopsys translate_on port map (we=>we, en=>logic1, rst=>logic0, clk=>clk,addr=>addr, di=>din, do=>dout); end behave;
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 31 verilog initialization example module mymem (clk, we, addr, din, dout); input clk, we; input [8:0] addr; input [7:0] din; output [7:0] dout; wire logic0, logic1; //synopsys dc_script_begin //set_attribute ram0 init_00 "0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef" -type string //set_attribute ram0 init_01 "fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210" -type string //synopsys dc_script_end assign logic0 = 1?b0; assign logic1 = 1?b1; ramb4_s8 ram0 (.we(we), .en(logic1), .rst(logic0), .clk(clk), .addr(addr), .di(din), .do(dout)); //synopsys translate_off defparam ram0.init_00 = 256h?0123456789abcdef0123456789abcdef0123456789abcdef0123456789abcdef; defparam ram0.init_01 = 256h?fedcba9876543210fedcba9876543210fedcba9876543210fedcba9876543210; //synopsys translate_on endmodule using selecti/o the virtex-e fpga series includes a highly configurable, high-performance i/o resource, called selecti/o? to pro- vide support for a wide variety of i/o standards. the selecti/o resource is a robust set of features including pro- grammable control of output drive strength, slew rate, and input delay and hold time. taki ng advantage of the flexibility and selecti/o features and the design considerations described in this document can improve and simplify sys- tem level design. introduction as fpgas continue to grow in size and capacity, the larger and more complex systems designed for them demand an increased variety of i/o standards. furthermore, as system clock speeds continue to increase, the need for high perfor- mance i/o becomes more important. while chip-to-chip delays have an increasingly substantial impact on overall system speed, the task of achieving the desired system performance becomes more difficult with the proliferation of low-voltage i/o standards. selecti/o, the revolutionary input/output resources of virtex-e devices, resolve this potential problem by providing a highly config- urable, high-performance alternative to the i/o resources of more conventional programmable devices. virtex-e selecti/o features combine the flexibility and time-to-market advan- tages of programmable logic with the high performance pre- viously available only with asics and custom ics. each selecti/o block can support up to 20 i/o standards. supporting such a variety of i/o standards allows the sup- port of a wide variety of applications, from general purpose standard applications to high-speed low-voltage memory buses. selecti/o blocks also provide selectable output drive strengths and programmable slew rates for the lvttl out- put buffers, as well as an optional, programmable weak pull-up, weak pull-down, or weak ?keeper? circuit ideal for use in external bussing applications. each input/output block (iob) includes three registers, one each for the input, output, and 3-state signals within the iob. these registers are optionally configurable as either a d-type flip-flop or as a level sensitive latch. the input buffer has an optional delay element used to guar- antee a zero hold time requirement for input signals regis- tered within the iob. the virtex-e selecti/o features also provide dedicated resources for input reference voltage (v ref ) and output source voltage (v cco ), along with a convenient banking system that simplifies board design. by taking advantage of the built-in features and wide variety of i/o standards supported by the selecti/o features, sys- tem-level design and board design can be greatly simplified and improved.
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 32 production product specification fundamentals modern bus applications, pioneered by the largest and most influential companies in the digital electronics industry, are commonly introduced with a new i/o standard tailored spe- cifically to the needs of that application. the bus i/o stan- dards provide specifications to other vendors who create products designed to interface with these applications. each standard often has its own specifications for current, voltage, i/o buffering, and termination techniques. the ability to provide the fl exibility and time-to-market advantages of programmable logic is increasingly depen- dent on the capability of the programmable logic device to support an ever increasing variety of i/o standards the selecti/o resources feature highly configurable input and output buffers which provide support for a wide variety of i/o standards. as shown in ta b l e 1 8 , each buffer type can support a variety of voltage requirements. overview of supp orted i/o standards this section provides a brief overview of the i/o standards supported by all virtex-e devices. while most i/o standards specify a range of allowed volt- ages, this document records typical voltage values only. detailed information on each specification can be found on the electronic industry alliance jedec website at: http://www.jedec.org lvttl ? low-voltage ttl the low-voltage ttl, or lvttl standard is a general pur- pose eia/jesdsa standard for 3.3v applications that uses an lvttl input buffer and a push-pull output buffer. this standard requires a 3.3v output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a termination voltage (v tt ). lvcmos2 ? low-voltage cmos for 2.5 volts the low-voltage cmos for 2.5 volts or lower, or lvcmos2 standard is an extension of the lvcmos standard (jesd 8.-5) used for general purpose 2.5v applications. this standard requires a 2.5v output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a board termination voltage (v tt ). lv c m o s 1 8 ? 1.8 v low voltage cmos this standard is an extension of the lvcmos standard. it is used in general purpose 1.8 v applications. the use of a reference voltage (v ref ) or a board termination voltage (v tt ) is not required. pci ? peripheral component interface the peripheral component interface, or pci standard spec- ifies support for both 33 mhz and 66 mhz pci bus applica- tions. it uses a lvttl input buffer and a push-pull output buffer. this standard does not require the use of a reference voltage (v ref ) or a board termination voltage (v tt ), how- ever, it does require a 3.3v output source voltage (v cco ). gtl ? gunning transceiver logic terminated the gunning transceiver logic, or gtl standard is a high-speed bus standard (jesd8.3) invented by xerox. xil- inx has implemented the terminated variation for this stan- dard. this standard requires a differential amplifier input buffer and a open drain output buffer. gtl+ ? gunning transceiver logic plus the gunning transceiver logic plus, or gtl+ standard is a high-speed bus standard (jesd8.3) first used by the pen- tium pro processor. hstl ? high-speed transceiver logic the high-speed transceiver logic, or hstl standard is a general purpose high-speed, 1.5v bus standard sponsored by ibm (eia/jesd 8-6). this standard has four variations or classes. selecti/o devices supp ort class i, iii, and iv. this ta bl e 1 8 : virtex-e supported i/o standards i/o standard output v cco input v cco input v ref board termination voltage (v tt ) lvttl 3.3 3.3 n/a n/a lvcmos2 2.5 2.5 n/a n/a lvcmos18 1.8 1.8 n/a n/a sstl3 i & ii 3.3 n/a 1.50 1.50 sstl2 i & ii 2.5 n/a 1.25 1.25 gtl n/a n/a 0.80 1.20 gtl+ n/a n/a 1.0 1.50 hstl i 1.5 n/a 0.75 0.75 hstl iii & iv 1.5 n/a 0.90 1.50 ctt 3.3 n/a 1.50 1.50 agp-2x 3.3 n/a 1.32 n/a pci33_3 3.3 3.3 n/a n/a pci66_3 3.3 3.3 n/a n/a blvds & lvds 2.5 n/a n/a n/a lvpecl 3.3 n/a n/a n/a
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 33 standard requires a differential amplifier input buffer and a push-pull output buffer. sstl3 ? stub series terminated logic for 3.3v the stub series terminated logic for 3.3v, or sstl3 stan- dard is a general purpose 3.3v memory bus standard also sponsored by hitachi and ibm (jesd8-8). this standard has two classes, i and ii. selecti/o devices support both classes for the sstl3 standard. this standard requires a differential amplifier input bu ffer and an push-pull output buffer. sstl2 ? stub series terminated logic for 2.5v the stub series terminated logic for 2.5v, or sstl2 stan- dard is a general purpose 2.5v memory bus standard spon- sored by hitachi and ibm (jesd8-9). this standard has two classes, i and ii. selecti/o devices support both classes for the sstl2 standard. this standard requires a differential amplifier input buffer and an push-pull output buffer. ctt ? center tap terminated the center tap terminated, or ctt standard is a 3.3v memory bus standard sponsored by fujitsu (jesd8-4). this standard requires a diffe rential amplifier input buffer and a push-pull output buffer. agp-2x ? advanced graphics port the intel agp standard is a 3.3v advanced graphics port-2x bus standard used with the pentium ii processor for graphics applications. this standard requires a push-pull output buffer and a different ial amplifier input buffer. lv d s ? low voltage differential signal lvds is a differential i/o standard. it requires that one data bit is carried through two signal lines. as with all differential signaling standards, lvds has an inherent noise immunity over single-ended i/o standards. the voltage swing between two signal lines is approximately 350mv. the use of a reference voltage (v ref ) or a board termination voltage (v tt ) is not required. lvds requires the use of two pins per input or output. lvds requires external resistor termination. blvds ? bus lvds this standard allows for bidirectional lvds communication between two or more devices. the external resistor termi- nation is different than the one for standard lvds. lvpecl ? low voltage positive emitter coupled logic lvpecl is another differential i/ o standard. it requires two signal lines for transmitting one data bit. this standard specifies two pins per input or output. the voltage swing between these two signal lines is approximately 850 mv. the use of a reference voltage (v ref ) or a board termina- tion voltage (v tt ) is not required. the lvpecl standard requires external resistor termination. library symbols the xilinx library includes an extensive list of symbols designed to provide support for the variety of selecti/o fea- tures. most of these symbols represent variations of the five generic selecti/o symbols. ? ibuf (input buffer) ? ibufg (global clock input buffer) ? obuf (output buffer) ? obuft (3-state output buffer) ? iobuf (input/output buffer) ibuf signals used as inputs to the virtex-e device must source an input buffer (ibuf) via an external input port. the generic virtex-e ibuf symbol appears in figure 37 . the extension to the base name defines which i/o standard the ibuf uses. the assumed standard is lvttl when the generic ibuf has no specified extension. the following list details the va riations of the ibuf symbol: ?ibuf ?ibuf_lvcmos2 ?ibuf_pci33_3 ?ibuf_pci66_3 ?ibuf_gtl ?ibuf_gtlp ? ibuf_hstl_i ? ibuf_hstl_iii ? ibuf_hstl_iv ? ibuf_sstl3_i ? ibuf_sstl3_ii ? ibuf_sstl2_i ? ibuf_sstl2_ii ?ibuf_ctt ?ibuf_agp ?ibuf_lvcmos18 ?ibuf_lvds ? ibuf_lvpecl when the ibuf symbol supports an i/o standard that requires a v ref , the ibuf automatically configures as a dif- ferential amplifier input buffer. the v ref voltage must be supplied on the v ref pins. in the case of lvds, lvpecl, and blvds, v ref is not required. figure 37: input buffer (ibuf) symbols o i ibuf x133_01_111699
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 34 production product specification the voltage reference signal is ?banked? within the virtex-e device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38 for a representation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automati- cally configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. ibuf placement restrictions require that any differential amplifier input signals within a bank be of the same stan- dard. how to specify a specific location for the ibuf via the loc property is described below. ta b l e 1 9 summarizes the virtex-e input standards compatibility requirements. an optional delay element is associated with each ibuf. when the ibuf drives a flip-f lop within the iob, the delay element by default activates to ensure a zero hold-time requirement. the nodelay=true property overrides this default. when the ibuf does not drive a flip-flop within the iob, the delay element de-activates by default to provide higher per- formance. to delay the input signal, activate the delay ele- ment with the delay=true property. ibufg signals used as high fanout clock inputs to the virtex-e device should drive a global clock input buffer (ibufg) via an external input port in order to take advantage of one of the four dedicated global clock distribution networks. the output of the ibufg should only drive a clkdll, clkdllhf, or bufg symbol. the generic virtex-e ibufg symbol appears in figure 39 . the extension to the base name determines which i/o stan- dard is used by the ibufg. with no extension specified for the generic ibufg symbol, the assumed standard is lvttl. the following list details variations of the ibufg symbol. ?ibufg ? ibufg_lvcmos2 ? ibufg_pci33_3 ? ibufg_pci66_3 ?ibufg_gtl ?ibufg_gtlp ? ibufg_hstl_i ? ibufg_hstl_iii ? ibufg_hstl_iv ? ibufg_sstl3_i ? ibufg_sstl3_ii ? ibufg_sstl2_i ? ibufg_sstl2_ii ? ibufg_ctt ?ibufg_agp ? ibufg_lvcmos18 ?ibufg_lvds ? ibufg_lvpecl when the ibufg symbol supports an i/o standard that requires a differential amplifier input, the ibufg automati- cally configures as a differential amplifier input buffer. the low-voltage i/o standards with a differential amplifier input require an external reference voltage input v ref . the voltage reference signal is ?banked? within the virtex-e device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38 for a representation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automati- cally configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. ibufg placement restrictions require any differential ampli- fier input signals within a bank be of the same standard. the loc property can specify a location for the ibufg. as an added convenience, the bufgp can be used to instantiate a high fanout clock input. the bufgp symbol ta bl e 1 9 : xilinx input standards compatibility requirements rule 1 standards with the same input v cco , output v cco , and v ref can be placed within the same bank. figure 38: virtex-e i/o banks ds022_42_012100 bank 0 gclk3 gclk2 gclk1 gclk0 bank 1 bank 5 bank 4 virtex-e device bank 7 bank 6 bank 2 bank 3 figure 39: virtex-e global cloc k input buffer (ibufg) symbol o i ibufg x133_03_111699
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 35 represents a combination of the lvttl ibufg and bufg symbols, such that the output of the bufgp can connect directly to the clock pins throughout the design. unlike previous architectures, the virtex-e bufgp symbol can only be placed in a global clock pad location. the loc property can specify a location for the bufgp. obuf an obuf must drive outputs through an external output port. the generic output buffer (obuf) symbol appears in figure 40 . the extension to the base name defines which i/o standard the obuf uses. with no extension specified for the generic obuf symbol, the assumed standard is slew rate limited lvttl with 12 ma drive strength. the lvttl obuf additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. lvttl output buffers have selectable drive strengths. the format for lvttl obuf symbol names is as follows: obuf__ where is either f (fast) or s (slow), and is specified in milliamps (2, 4, 6, 8, 12, 16, or 24). the following list details variations of the obuf symbol. ?obuf ?obuf_s_2 ?obuf_s_4 ?obuf_s_6 ?obuf_s_8 ?obuf_s_12 ?obuf_s_16 ?obuf_s_24 ?obuf_f_2 ?obuf_f_4 ?obuf_f_6 ?obuf_f_8 ? obuf_f_12 ? obuf_f_16 ? obuf_f_24 ?obuf_lvcmos2 ?obuf_pci33_3 ? obuf_pci66_3 ?obuf_gtl ?obuf_gtlp ? obuf_hstl_i ? obuf_hstl_iii ? obuf_hstl_iv ? obuf_sstl3_i ? obuf_sstl3_ii ? obuf_sstl2_i ? obuf_sstl2_ii ?obuf_ctt ?obuf_agp ?obuf_lvcmos18 ?obuf_lvds ? obuf_lvpecl the virtex-e series supports eight banks for the hq and pq packages. the cs packages support four v cco banks. obuf placement restrictions require that within a given v cco bank each obuf share the same output source drive voltage. input buffers of any type and output buffers that do not require v cco can be placed within any v cco bank. ta b l e 2 0 summarizes the virtex-e output compatibility requirements. the loc property can specify a location for the obuf. obuft the generic 3-state output buffer obuft (see figure 41 ) typically implements 3-state outputs or bidirectional i/o. the extension to the base name defines which i/o standard obuft uses. with no extension specified for the generic obuft symbol, the assumed standard is slew rate limited lvttl with 12 ma drive strength. the lvttl obuft additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. figure 40: virtex-e output buffer (obuf) symbol o i obuf x133_04_111699 table 20: output standards compatibility requirements rule 1 only outputs with standards that share compatible v cco can be used within the same bank. rule 2 there are no placement restrictions for outputs with standards that do not require a v cco . v cco compatible standards 3.3 lvttl, sstl3_i, sstl3_ii, ctt, agp, gtl, gtl+, pci33_3, pci66_3 2.5 sstl2_i, sstl2_ii, lvcmos2, gtl, gtl+ 1.5 hstl_i, hstl_iii, hstl_iv, gtl, gtl+
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 36 production product specification lvttl 3-state output buffers have selectable drive strengths. the format for lvttl obuft sy mbol names is as follows: obuft__ where is either f (fast) or s (slow), and is specified in milliamps (2, 4, 6, 8, 12, 16, or 24). the following list details variat ions of the obuft symbol. ? obuft ? obuft_s_2 ? obuft_s_4 ? obuft_s_6 ? obuft_s_8 ? obuft_s_12 ? obuft_s_16 ? obuft_s_24 ? obuft_f_2 ? obuft_f_4 ? obuft_f_6 ? obuft_f_8 ? obuft_f_12 ? obuft_f_16 ? obuft_f_24 ? obuft_lvcmos2 ? obuft_pci33_3 ? obuft_pci66_3 ? obuft_gtl ? obuft_gtlp ? obuft_hstl_i ? obuft_hstl_iii ? obuft_hstl_iv ? obuft_sstl3_i ? obuft_sstl3_ii ? obuft_sstl2_i ? obuft_sstl2_ii ? obuft_ctt ? obuft_agp ? obuft_lvcmos18 ? obuft_lvds ? obuft_lvpecl the virtex-e series supports eight banks for the hq and pq packages. the cs package supports four v cco banks. the selecti/o obuft placement restrictions require that within a given v cco bank each obuft share the same out- put source drive voltage. input buffers of any type and out- put buffers that do not require v cco can be placed within the same v cco bank. the loc property can specify a location for the obuft. 3-state output buffers and bidirectional buffers can have either a weak pull-up resistor, a weak pull-down resistor, or a weak ?keeper? circuit. control this feature by adding the appropriate symbol to the output net of the obuft (pul- lup, pulldown, or keeper). the weak ?keeper? circuit requires the input buffer within the iob to sample the i/o signal. so, obufts programmed for an i/o standard that requires a v ref have automatic place- ment of a v ref in the bank with an obuft configured with a weak ?keeper? circuit. this restriction does not affect most circuit design as applications using an obuft configured with a weak ?keeper? typically implement a bidirectional i/o. in this case the ibuf (and the corresponding v ref ) are explicitly placed. the loc property can specify a location for the obuft. iobuf use the iobuf symbol for bidirectional signals that require both an input buffer and a 3-state output buffer with an active high 3-state pin. the generic input/output buffer iobuf appears in figure 42 . the extension to the base name defines which i/o standard the iobuf uses. with no extension specified for the generic iobuf symbol, the assumed standard is lvttl input buffer and slew rate limited lvttl with 12 ma drive strength for the output buffer. the lvttl iobuf additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. lvttl bidirectional buffers have selectable output drive strengths. the format for lvttl iobuf symbol names is as follows: iobuf__ where is either f (fast) or s (slow), and is specified in milliamps (2, 4, 6, 8, 12, 16, or 24). figure 41: 3-state output buffer symbol (obuft) o i obuft x133_05_111699 t
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 37 the following list details variations of the iobuf symbol. ?iobuf ? iobuf_s_2 ? iobuf_s_4 ? iobuf_s_6 ? iobuf_s_8 ? iobuf_s_12 ? iobuf_s_16 ? iobuf_s_24 ?iobuf_f_2 ?iobuf_f_4 ?iobuf_f_6 ?iobuf_f_8 ?iobuf_f_12 ?iobuf_f_16 ?iobuf_f_24 ? iobuf_lvcmos2 ? iobuf_pci33_3 ? iobuf_pci66_3 ?iobuf_gtl ?iobuf_gtlp ? iobuf_hstl_i ? iobuf_hstl_iii ? iobuf_hstl_iv ? iobuf_sstl3_i ? iobuf_sstl3_ii ? iobuf_sstl2_i ? iobuf_sstl2_ii ?iobuf_ctt ? iobuf_agp ? iobuf_lvcmos18 ?iobuf_lvds ? iobuf_lvpecl when the iobuf symbol used supports an i/o standard that requires a differential amplifier input, the iobuf auto- matically configures with a differential amplifier input buffer. the low-voltage i/o standards with a differential amplifier input require an external reference voltage input v ref . the voltage reference signal is ?banked? within the virtex-e device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38, page 34 for a representation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automatically configured as a v ref input. after placing a dif- ferential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. iobuf placement restrictions require any differential ampli- fier input signals within a bank be of the same standard. the virtex-e series supports eight banks for the hq and pq packages. the cs package supports four v cco banks. additional restrictions on the virtex-e selecti/o iobuf placement require that within a given v cco bank each iobuf must share the same output source drive voltage. input buffers of any type and output buffers that do not require v cco can be placed within the same v cco bank. the loc property can specify a location for the iobuf. an optional delay element is associated with the input path in each iobuf. when the iobuf drives an input flip-flop within the iob, the delay element activates by default to ensure a zero hold-time requirement. override this default with the nodelay=true property. in the case when the iobuf does not drive an input flip-flop within the iob, the delay element de-activates by default to provide higher performance. to delay the input signal, acti- vate the delay element with the delay=true property. 3-state output buffers and bidirectional buffers can have either a weak pull-up resistor, a weak pull-down resistor, or a weak ?keeper? circuit. control this feature by adding the appropriate symbol to the output net of the iobuf (pul- lup, pulldown, or keeper). selecti/o properties access to some of the selecti/o features (for example, loca- tion constraints, input delay, output drive strength, and slew rate) is available through properties associated with these features. input delay properties an optional delay element is associated with each ibuf. when the ibuf drives a flip-f lop within the iob, the delay element activates by default to ensure a zero hold-time requirement. use the nodelay=true property to over- ride this default. in the case when the ibuf does not drive a flip-flop within the iob, the delay element by default de-activates to pro- vide higher performance. to delay the input signal, activate the delay element with the delay=true property. figure 42: input/output buffer symbol (iobuf) io i iobuf x133_06_111699 t o
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 38 production product specification iob flip-flop/latch property the virtex-e series i/o block (iob) includes an optional register on the input path, an optional register on the output path, and an optional register on the 3-state control pin. the design implementation software automatically takes advan- tage of these registers when the following option for the map program is specified. map ?pr b alternatively, the iob = true property can be placed on a register to force the mapper to place the register in an iob. location constraints specify the location of each selecti/o symbol with the loca- tion constraint loc attached to the selecti/o symbol. the external port identifier indicates the value of the location constrain. the format of the port identifier depends on the package chosen for the specific design. the loc properties use the following form: loc=a42 loc=p37 output slew rate property as mentioned above, a variety of symbol names provide the option of choosing the desired slew rate for the output buff- ers. in the case of the lvttl output buffers (obuf, obuft, and iobuf), slew rate control can be alternatively pro- gramed with the slew= property. by default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. the slew= property has one of the two following values. slew=slow slew=fast output drive strength property the desired output drive strength can be additionally speci- fied by choosing the appropri ate library symbol. the xilinx library also provides an alternative method for specifying this feature. for the lvttl output buffers (obuf, obuft, and iobuf, the desired drive strength can be specified with the drive= property. this property could have one of the following seven values. drive=2 drive=4 drive=6 drive=8 drive=12 (default) drive=16 drive=24 design considerations reference voltage (v ref ) pins low-voltage i/o standards with a differential amplifier input buffer require an input reference voltage (v ref ). provide the v ref as an external signal to the device. the voltage reference signal is ?banked? within the device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 38 for a rep- resentation of the virtex-e i/o banks. within each bank approximately one of every six i/o pins is automatically con- figured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. within each v ref bank, any input buffers that require a v ref signal must be of the same type. output buffers of any type and input buffers can be placed without requiring a ref- erence voltage within the same v ref bank. output drive source voltage (v cco ) pins many of the low voltage i/o standards supported by selecti/o devices require a different output drive source voltage (v cco ). as a result each device can often have to support multiple output drive source voltages. the virtex-e series supports eight banks for the hq and pq packages. the cs package supports four v cco banks. output buffers within a given v cco bank must share the same output drive source voltage. input buffers for lvttl, lvcmos2, lvcmos18, pci33_3, and pci 66_3 use the v cco voltage for input v cco voltage. transmission line effects the delay of an electrical signal along a wire is dominated by the rise and fall times when the signal travels a short dis- tance. transmission line delays vary with inductance and capacitance, but a well-designed board can experience delays of approximately 180 ps per inch. transmission line effects, or reflections, typically start at 1.5" for fast (1.5 ns) rise and fall times. poor (or non-exis- tent) termination or changes in the transmission line imped- ance cause these reflections and can cause additional delay in longer traces. as system speeds continue to increase, the effect of i/o delays can become a limiting fac- tor and therefore transmission line termination becomes increasingly more important. termination techniques a variety of termination techniques reduce the impact of transmission line effects. the following are output termination techniques: ?none ?series ? parallel (shunt) ? series and parallel (series-shunt)
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 39 input termination techniques include the following. ?none ? parallel (shunt) these termination techniques can be applied in any combi- nation. a generic example of each combination of termina- tion methods appears in figure 43 . simultaneous switching guidelines ground bounce can occur with high-speed digital ics when multiple outputs change states simultaneously, causing undesired transient behavior on an output, or in the internal logic. this problem is also referred to as the simultaneous switching output (sso) problem. ground bounce is primarily due to current changes in the combined inductance of ground pins, bond wires, and ground metallization. the ic internal ground level deviates from the external system ground level for a short duration (a few nanoseconds) after multiple outputs change state simultaneously. ground bounce affects stable low outputs and all inputs because they interpret the incoming signal by comparing it to the internal ground. if the ground bounce amplitude exceeds the actual instantaneous noise margin, then a non-changing input can be interpreted as a short pulse with a polarity opposite to the ground bounce. ta b l e 2 1 provides guidelines for the maximum number of simultaneously switching outputs allowed per output power/ground pair to avoid the effects of ground bounce. see ta b l e 2 2 for the number of effective output power/ground pairs for each virtex-e device and package combination. figure 43: overview of standard input and output termination methods x133_07_111699 unterminated double parallel terminated series-parallel terminated output driving a parallel terminated input v tt v tt v ref series terminated output driving a parallel terminated input v tt v ref unterminated output driving a parallel terminated input v tt v ref v tt v tt v ref series terminated output v ref z=50 z=50 z=50 z=50 z=50 z=50 ta bl e 2 1 : guidelines for max number of simultaneously switching outputs per power/ground pair standard package bga, cs, fga hq pq, tq lvttl slow slew rate, 2 ma drive 68 49 36 lvttl slow slew rate, 4 ma drive 41 31 20 lvttl slow slew rate, 6 ma drive 29 22 15 lvttl slow slew rate, 8 ma drive 22 17 12 lvttl slow slew rate, 12 ma drive 17 12 9 lvttl slow slew rate, 16 ma drive 14 10 7 lvttl slow slew rate, 24 ma drive 9 7 5 lvttl fast slew rate, 2 ma drive 40 29 21 lvttl fast slew rate, 4 ma drive 24 18 12 lvttl fast slew rate, 6 ma drive 17 13 9 lvttl fast slew rate, 8 ma drive 13 10 7 lvttl fast slew rate, 12 ma drive 10 7 5 lvttl fast slew rate, 16 ma drive 8 6 4 lvttl fast slew rate, 24 ma drive 5 4 3 lv c m o s 10 7 5 pci 8 6 4 gtl 4 4 4 gtl+ 4 4 4
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 40 production product specification hstl class i 18 13 9 hstl class iii 9 7 5 hstl class iv 5 4 3 sstl2 class i 15 11 8 sstl2 class ii 10 7 5 sstl3 class i 11 8 6 sstl3 class ii 7 5 4 ctt 14 10 7 agp 9 7 5 note: this analysis assumes a 35 pf load for each output. ta bl e 2 2 : virtex-e equivalent power/ground pairs pkg/part xcv100e xcv200e xcv300e xcv400 e xcv600e xcv1000e xcv1600e xcv2000e cs144 12 12 pq240 20202020 hq240 20 20 bg352 20 32 32 bg432 32 40 40 bg560 40 40 56 58 60 fg256 (1) 20 24 24 fg456 40 40 fg676 54 56 fg680 (2) 46 56 56 56 fg860 58 60 64 fg900 56 58 60 fg1156 96 104 120 notes: 1. virtex-e devices in fg256 packages have more v cco than virtex series devices. 2. fg680 numbers are preliminary. ta bl e 2 1 : guidelines for max number of simultaneously switching outputs per power/ground pair (continued) standard package bga, cs, fga hq pq, tq
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 41 application examples creating a design with the selecti/o features requires the instantiation of the desired library symbol within the design code. at the board level, designers need to know the termi- nation techniques required for each i/o standard. this section describes some common application examples illustrating the termination techniques re commended by each of the standards supported by the selecti/o features. termination examples circuit examples involving typi cal termination techniques for each of the selecti/o standards follow. for a full range of accepted values for the dc voltage specifications for each standard, refer to the table associated with each figure. the resistors used in each termination technique example and the transmission lines depicted represent board level components and are not meant to represent components on the device. gtl a sample circuit illustrating a valid termination technique for gtl is shown in figure 44 . ta bl e 2 3 lists dc voltage specifications. gtl+ a sample circuit illustrating a valid termination technique for gtl+ appears in figure 45 . dc voltage specifications appear in ta bl e 2 4 . figure 44: terminated gtl ta bl e 2 3 : gtl voltage specifications parameter min typ max v cco -n/a- v ref = n v tt 1 0.74 0.8 0.86 v tt 1.14 1.2 1.26 v ih = v ref + 0.05 0.79 0.85 - v il = v ref ? 0.05 - 0.75 0.81 v oh --- v ol -0.20.4 i oh at v oh (ma) --- i ol at v ol (ma) at 0.4v 32 - - i ol at v ol (ma) at 0.2v - - 40 notes: 1. n must be greater than or equa l to 0.653 and less than or equal to 0.68. v ref = 0.8v v tt = 1.2v 50 50 v cco = n/a z = 50 gtl x133_08_111699 v tt = 1.2v figure 45: terminated gtl+ table 24: gtl+ voltage specifications parameter min typ max v cco -- - v ref = n v tt 1 0.88 1.0 1.12 v tt 1.35 1.5 1.65 v ih = v ref + 0.1 0.98 1.1 - v il = v ref ? 0.1 - 0.9 1.02 v oh -- - v ol 0.3 0.45 0.6 i oh at v oh (ma) - - - i ol at v ol (ma) at 0.6v 36 - - i ol at v ol (ma) at 0.3v - - 48 notes: 1. n must be greater than or equal to 0.653 and less than or equal to 0.68. v ref = 1.0v v tt = 1.5v 50 v cco = n/a z = 50 gtl+ x133_09_012400 50 v tt = 1.5v
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 42 production product specification hstl a sample circuit illustrating a valid termination technique for hstl_i appears in figure 46 . a sample circuit illustrating a valid termination technique for hstl_iii appears in figure 47 . a sample circuit illustrating a valid termination technique for hstl_iv appears in figure 48 . ta bl e 2 5 : hstl class i voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref 0.68 0.75 0.90 v tt -v cco 0.5 - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol 0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - figure 46: terminated hstl class i ta bl e 2 6 : hstl class iii voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref (1) -0.90- v tt -v cco - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8-- i ol at v ol (ma) 24 - - note: per eia/jesd8-6, ?the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user.? v ref = 0.75v v tt = 0.75v 50 v cco = 1.5v z = 50 hstl class i x133_10_111699 figure 47: terminated hstl class iii table 27: hstl class iv voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref -0.90- v tt -v cco - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 48 - - note: per eia/jesd8-6, ?the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. figure 48: terminated hstl class iv v ref = 0.9v v tt = 1.5v 50 v cco = 1.5v z = 50 hstl class iii x133_11_111699 50 z = 50 hstl class iv x133_12_111699 50 v ref = 0.9v v tt = 1.5v v tt = 1.5v v cco = 1.5v
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 43 sstl3_i a sample circuit illustrating a valid termination technique for sstl3_i appears in figure 49 . dc voltage specifications appear in ta b l e 2 8 . sstl3_ii a sample circuit illustrating a valid termination technique for sstl3_ii appears in figure 50 . dc voltage specifications appear in ta b l e 2 9 . sstl2_i a sample circuit illustrating a valid termination technique for sstl2_i appears in figure 51 . dc voltage specifications appear in ta bl e 3 0 . figure 49: terminated sstl3 class i ta bl e 2 8 : sstl3_i voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = 0.45 v cco 1.3 1.5 1.7 v tt = v ref 1.3 1.5 1.7 v ih = v ref + 0.2 1.5 1.7 3.9 (1) v il = v ref ? 0.2 ? 0.3 (2) 1.3 1.5 v oh = v ref + 0.6 1.9 - - v ol = v ref ? 0.6 - - 1.1 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - notes: 1. v ih maximum is v cco + 0.3 2. v il minimum does not conform to the formula figure 50: terminated sstl3 class ii 50 z = 50 sstl3 class i x133_13_111699 25 v ref = 1.5v v tt = 1.5v v cco = 3.3v 50 z = 50 sstl3 class ii x133_14_111699 25 50 v ref = 1.5v v tt = 1.5v v tt = 1.5v v cco = 3.3v table 29: sstl3_ii voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = 0.45 v cco 1.3 1.5 1.7 v tt = v ref 1.3 1.5 1.7 v ih = v ref + 0.2 1.5 1.7 3.9 (1) v il = v ref ? 0.2 ? 0.3 (2) 1.3 1.5 v oh = v ref + 0.8 2.1 - - v ol = v ref ? 0.8 - - 0.9 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - - notes: 1. v ih maximum is v cco + 0.3 2. v il minimum does not conform to the formula figure 51: terminated sstl2 class i table 30: sstl2_i voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref = 0.5 v cco 1.15 1.25 1.35 v tt = v ref + n (1) 1.11 1.25 1.39 v ih = v ref + 0.18 1.33 1.43 3.0 (2) v il = v ref ? 0.18 ? 0.3 (3) 1.07 1.17 v oh = v ref + 0.61 1.76 - - v ol = v ref ? 0.61 - - 0.74 i oh at v oh (ma) ? 7.6 - - i ol at v ol (ma) 7.6 - - notes: 1. n must be greater than or equal to -0.04 and less than or equal to 0.04. 2. v ih maximum is v cco + 0.3. 3. v il minimum does not conform to the formula. 50 z = 50 sstl2 class i xap133_15_011000 25 v ref = 1.25v v tt = 1.25v v cco = 2.5v
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 44 production product specification sstl2_ii a sample circuit illustrating a valid termination technique for sstl2_ii appears in figure 52 . dc voltage specifications appear in ta b l e 3 1 . ctt a sample circuit illustrating a valid termination technique for ctt appear in figure 53 . dc voltage specifications appear in ta b l e 3 2 . pci33_3 & pci66_3 pci33_3 or pci66_3 require no termination. dc voltage specifications appear in ta b l e 3 3 . figure 52: terminated sstl2 class ii ta bl e 3 1 : sstl2_ii voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref = 0.5 v cco 1.15 1.25 1.35 v tt = v ref + n (1) 1.11 1.25 1.39 v ih = v ref + 0.18 1.33 1.43 3.0 (2) v il = v ref ? 0.18 ? 0.3 (3) 1.07 1.17 v oh = v ref + 0.8 1.95 - - v ol = v ref ? 0.8 - - 0.55 i oh at v oh (ma) ? 15.2 - - i ol at v ol (ma) 15.2 - - notes: 1. n must be greater than or equal to -0.04 and less than or equal to 0.04. 2. v ih maximum is v cco + 0.3. 3. v il minimum does not conform to the formula. figure 53: terminated ctt 50 z = 50 sstl2 class ii x133_16_111699 25 50 v ref = 1.25v v tt = 1.25v v tt = 1.25v v cco = 2.5v v ref = 1.5v v tt = 1.5v 50 v cco = 3.3v z = 50 ctt x133_17_111699 table 32: ctt voltage specifications parameter min typ max v cco 2.05 (1) 3.3 3.6 v ref 1.35 1.5 1.65 v tt 1.35 1.5 1.65 v ih = v ref + 0.2 1.55 1.7 - v il = v ref ? 0.2 - 1.3 1.45 v oh = v ref + 0.4 1.75 1.9 - v ol = v ref ? 0.4 - 1.1 1.25 i oh at v oh (ma) ? 8-- i ol at v ol (ma) 8 - - notes: 1. timing delays are calculated based on v cco min of 3.0v. table 33: pci33_3 and pci66_3 voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih = 0.5 v cco 1.5 1.65 v cco +0.5 v il = 0.3 v cco ? 0.5 0.99 1.08 v oh = 0.9 v cco 2.7 - - v ol = 0.1 v cco - - 0.36 i oh at v oh (ma) note 1 - - i ol at v ol (ma) note 1 - - notes: 1. tested according to the relevant specification.
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 45 lv t t l lvttl requires no terminatio n. dc voltage specifications appears in ta b l e 3 4 . lv c m o s 2 lvcmos2 requires no termination. dc voltage specifica- tions appear in ta b l e 3 5 . lv c m o s 1 8 lvcmos18 does not require termination. ta bl e 3 6 lists dc voltage specifications. agp-2x the specification for the agp-2x standard does not docu- ment a recommended termination technique. dc voltage specifications appear in ta b l e 3 7 . ta bl e 3 4 : lvttl voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih 2.0 - 3.6 v il ? 0.5 - 0.8 v oh 2.4 - - v ol --0.4 i oh at v oh (ma) ? 24 - - i ol at v ol (ma) 24 - - notes: 1. note: v ol and v oh for lower drive currents sample tested. ta bl e 3 5 : lvcmos2 voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref -- - v tt -- - v ih 1.7 - 3.6 v il ? 0.5 - 0.7 v oh 1.9 - - v ol --0.4 i oh at v oh (ma) ? 12 - - i ol at v ol (ma) 12 - - table 36: lvcmos18 voltage specifications parameter min typ max v cco 1.70 1.80 1.90 v ref --- v tt --- v ih 0.65 x v cco -1.95 v il ? 0.5 - 0.2 x v cco v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ?8 - - i ol at v ol (ma) 8 - - table 37: agp-2x voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = n v cco (1) 1.17 1.32 1.48 v tt -- - v ih = v ref + 0.2 1.37 1.52 - v il = v ref ? 0.2 - 1.12 1.28 v oh = 0.9 v cco 2.7 3.0 - v ol = 0.1 v cco - 0.33 0.36 i oh at v oh (ma) note 2 - - i ol at v ol (ma) note 2 - - notes: 1. n must be greater than or equal to 0.39 and less than or equal to 0.41. 2. tested according to the relevant specification.
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 46 production product specification lv d s depending on whether the device is transmitting an lvds signal or receiving an lvds signal, there are two different circuits used for lvds termination. a sample circuit illustrat- ing a valid termination technique for transmitting lvds sig- nals appears in figure 54 . a sample circuit illustrating a valid termination for receiving lvds signals appears in figure 55 . ta bl e 3 8 lists dc voltage specifications. further information on the specific termination resistor packs shown can be found on ta b l e 4 0 . lvpecl depending on whether the device is transmitting or receiv- ing an lvpecl signal, two diff erent circuits are used for lvpecl termination. a sample circuit illustrating a valid ter- mination technique for transmitting lvpecl signals appears in figure 56 . a sample circuit illustrating a valid ter- mination for receiving lvpecl signals appears in figure 57 . ta b l e 3 9 lists dc voltage specifications. further information on the specific termination resistor packs shown can be found on ta b l e 4 0 . figure 54: transmitting lvds signal circuit figure 55: receiving lvds signal circuit ta bl e 3 8 : lvds voltage specifications parameter min typ max v cco 2.375 2.5 2.625 v icm (2) 0.2 1.25 2.2 v ocm (1) 1.125 1.25 1.375 v idiff (1) 0.1 0.35 - v odiff (1) 0.25 0.35 0.45 v oh (1) 1.25 - - v ol (1) - - 1.25 notes: 1. measured with a 100 resistor across q and q . 2. measured with a differential input voltage = + / ? 350 mv. x133_19_122799 q z 0 = 50 z 0 = 50 q virtex-e fpga to lvds receiver to lvds receiver r div 140 r s 165 r s 165 2.5v v cco = 2.5v lvds output data transmit 1/4 of bourns part number cat16-lv4f12 x133_29_122799 q z 0 = 50 lvds_in lvds_in z 0 = 50 r t 100 q data receive from lvds driver virtex-e fpga + ? table 39: lvpecl voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih 1.49 - 2.72 v il 0.86 - 2.125 v oh 1.8 - - v ol - - 1.57 notes: 1. for more detailed information, see ds022-3 : virtex-e 1.8v fpga dc and switching characteristics , module 3, lvpecl dc specifications section. figure 56: transmitting lvpecl signal circuit figure 57: receiving lvpecl signal circuit x133_20_122799 q z 0 = 50 lvpecl_out lvpecl_out z 0 = 50 q virtex-e fpga to lvpecl receiver to lvpecl receiver r div 187 r s 100 r s 100 3.3v data transmit 1/4 of bourns part number cat16-pc4f12 x133_21_122799 q z 0 = 50 lvpecl_in lvpecl_in z 0 = 50 r t 100 q data receive from lvpecl driver virtex-e fpga + ?
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 47 termination resistor packs resistor packs are available with the values and the config- uration required for lvds and lvpecl termination from bourns, inc., as listed in table. for pricing and availability, please contact bourns directly at http://www.bourns.com . lvds design guide the selecti/o library elements have been expanded for vir- tex-e devices to include new lvds variants. at this time all of the cells might not be included in the synthesis libraries. the 2.1i-service pack 2 update for alliance and foundation software includes these cells in the vhdl and verilog librar- ies. it is necessary to combine these cells to create the p-side (positive) and n-side (negative) as described in the input, output, 3-state and bidirectional sections. creating lvds global cl ock input buffers global clock input buffers can be combined with adjacent iobs to form lvds clock input buffers. p-side is the gclk- pad location; n-side is the adjacent io_lvds_dll site. hdl instantiation only one global clock input buffer is required to be instanti- ated in the design and placed on the correct gclkpad location. the n-side of the buffer is reserved and no other iob is allowed to be placed on this location. in the physical device, a configuration option is enabled that routes the pad wire to the differential input buffer located in the gclkiob. the output of this buffer then drives the out- put of the gclkiob cell. in epic it appears that the second buffer is unused. any attempt to use this location for another purpose leads to a drc error in the software. vhdl instantiation gclk0_p : ibufg_lvds port map (i=>clk_external, o=>clk_internal); verilog instantiation ibufg_lvds gclk0_p (.i(clk_external), .o(clk_internal)); location constraints all lvds buffers must be explicitly placed on a device. for the global clock input buffers this can be done with the fol- lowing constraint in the .ucf or .ncf file. net clk_external loc = gclkpad3; gclkpad3 can also be replaced with the package pin name such as d17 for the bg432 package. ta bl e 4 0 : bourns lvds/lvpecl resistor packs part number i/o standard term. for: pairs/ pack pins cat16 ? lv 2 f 6 lv d s d r i ve r 2 8 cat16 ? lv4f12 lvds driver 4 16 cat16 ? pc2f6 lvpecl driver 2 8 cat16 ? pc4f12 lvpecl driver 4 16 cat16 ? pt2f2 lvds/lvpecl receiver 2 8 cat16 ? pt4f4 lvds/lvpecl receiver 4 16 figure 58: lvds elements o i ibuf_lvds o i obuf_lvds iobuf_lvds o o t i obuft_lvds o i ibufg_lvds io t i x133_22_122299 table 41: global clock input buffer pair locations pkg gclk 3 gclk 2 gclk 1 gclk 0 pnpn p n p n cs144 a6 c6 a7 b7 m7 m6 k7 n8 pq240 p213 p215 p210 p209 p89 p87 p92 p93 hq240 p213 p215 p210 p209 p89 p87 p92 p93 bg352 d14 a15 b14 a13 af14 ad14 ae13 ac13 bg432 d17 c17 a16 b16 ak16 al17 al16 ah15 bg560 a17 c18 d17 e17 aj17 am18 al17 am17 fg256 b8 a7 c9 a8 r8 t8 n8 n9 fg456 c11 b11 a11 d11 yll aa11 w12 u12 fg676 e13 b13 c13 f14 ab13 af13 aa14 ac14 fg680 a20 c22 d21 a19 au22 at22 aw19 at21 fg860 c22 a22 b22 d22 ay22 aw21 ba22 aw20 fg900 c15 a15 e15 e16 ak16 ah16 aj16 af16 fg1156 e17 c17 d17 j18 al19 al17 ah18 am18
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 48 production product specification optional n-side some designers might prefer to also instantiate the n-side buffer for the global clock buffer. this allows the top-level net list to include net connection s for both pcb layout and sys- tem-level integration. in this case, only the output p-side ibufg connection has a net connected to it. since the n-side ibufg does not have a connection in the edif net list, it is trimmed from the design in map. vhdl instantiation gclk0_p : ibufg_lvds port map (i=>clk_p_external, o=>clk_internal); gclk0_n : ibufg_lvds port map (i=>clk_n_external, o=>clk_internal); verilog instantiation ibufg_lvds gclk0_p (.i(clk_p_external), .o(clk_internal)); ibufg_lvds gclk0_n (.i(clk_n_external), .o(clk_internal)); location constraints all lvds buffers must be explicitly placed on a device. for the global clock input buffers this can be done with the fol- lowing constraint in the .ucf or .ncf file. net clk_p_external loc = gclkpad3; net clk_n_external loc = c17; gclkpad3 can also be replaced with the package pin name, such as d17 for the bg432 package. creating lvds input buffers an lvds input buffer can be placed in a wide number of iob locations. the exact location is dependent on the package that is used. the virtex-e package information lists the pos- sible locations as io_l#p for the p-side and io_l#n for the n-side where # is the pair number. hdl instantiation only one input buffer is requir ed to be instantiated in the design and placed on the correct io_l#p location. the n-side of the buffer is reserved and no other iob is allowed to be placed on this location. in the physical device, a con- figuration option is enabled that routes the pad wire from the io_l#n iob to the differential input buffer located in the io_l#p iob. the output of this buffer then drives the output of the io_l#p cell or the input register in the io_l#p iob. in epic it appears that the second buffer is unused. any attempt to use this location for another purpose leads to a drc error in the software. vhdl instantiation data0_p : ibuf_lvds port map (i=>data(0), o=>data_int(0)); verilog instantiation ibuf_lvds data0_p (.i(data[0]), .o(data_int[0])); location constraints all lvds buffers must be explicitly placed on a device. for the input buffers this can be done with the following con- straint in the .ucf or .ncf file. net data<0> loc = d28; # io_l0p optional n-side some designers might prefer to also instantiate the n-side buffer for the input buffer. this allows the top-level net list to include net connections for both pcb layout and sys- tem-level integration. in this case, only the output p-side ibuf connection has a net connected to it. since the n-side ibuf does not have a connection in the edif net list, it is trimmed from the design in map. vhdl instantiation data0_p : ibuf_lvds port map (i=>data_p(0), o=>data_int(0)); data0_n : ibuf_lvds port map (i=>data_n(0), o=>open); verilog instantiation ibuf_lvds data0_p (.i(data_p[0]), .o(data_int[0])); ibuf_lvds data0_n (.i(data_n[0]), .o()); location constraints all lvds buffers must be explicitly placed on a device. for the global clock input buffers this can be done with the fol- lowing constraint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n adding an input register all lvds buffers can have an input register in the iob. the input register is in the p-side iob only. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly instantiated in the hdl code. the register elements can be packed in the iob using the iob property to true on the register or by using the ?map -pr [i|o|b]? where ?i? is inputs only, ?o? is outputs only and ?b? is both inputs and outputs. to improve design coding times vhdl and verilog synthesis macro libraries available to explicitly create these structures. the input library macros are listed in ta bl e 4 2 . the i and ib inputs to the macros are the external net connections.
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 49 creating lvds output buffers lvds output buffers can be placed in a wide number of iob locations. the exact locations are dependent on the pack- age used. the virtex-e package information lists the possi- ble locations as io_l#p for the p-side and io_l#n for the n-side, where # is the pair number. hdl instantiation both output buffers are required to be instantiated in the design and placed on the correct io_l#p and io_l#n loca- tions. the iob must have the same net source the following pins, clock (c), set/reset (sr), output (o), output clock enable (oce). in addition, the output (o) pins must be inverted with respect to each other, and if output registers are used, the init states must be opposite values (one high and one low). failure to follow these rules leads to drc errors in software. vhdl instantiation data0_p : obuf_lvds port map (i=>data_int(0), o=>data_p(0)); data0_inv: inv port map (i=>data_int(0), o=>data_n_int(0)); data0_n : obuf_lvds port map (i=>data_n_int(0), o=>data_n(0)); verilog instantiation obuf_lvds data0_p (.i(data_int[0]), .o(data_p[0])); inv data0_inv (.i(data_int[0], .o(data_n_int[0]); obuf_lvds data0_n (.i(data_n_int[0]), .o(data_n[0])); location constraints all lvds buffers must be explicitly placed on a device. for the output buffers this can be done with the following con- straint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n synchronous vs. asynchronous outputs if the outputs are synchronous (registered in the iob) then any io_l#p|n pair can be used. if the outputs are asynchro- nous (no output register), then they must use one of the pairs that are part of the same iob group at the end of a row or column in the device. the lvds pairs that can be used as asynchronous outputs are listed in the virtex-e pinout tables. some pairs are marked as asynchronous-capable for all devices in that package, and others are marked as available only for that device in the package. if the device size might change at some point in the product lifetime, then only the common pairs for all packages should be used. adding an output register all lvds buffers can have an output register in the iob. the output registers must be in both the p-side and n-side iobs. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly in stantiated in the hdl code. special care must be taken to insure that the d pins of the registers are inverted and that the init states of the regis- ters are opposite. the clock pin (c), clock enable (ce) and set/reset (clr/pre or s/r) pins must connect to the same source. failure to do this lead s to a drc error in the soft- ware. the register elements can be packed in the iob using the iob property to true on the register or by using the ?map -pr [i|o|b]? where ?i? is inputs only, ?o? is outputs only and ?b? is both inputs and outputs. to improve design coding times vhdl and verilog synthe- sis macro libraries have been developed to explicitly create these structures. the output library macros are listed in ta b l e 4 3 . the o and ob inputs to the macros are the exter- nal net connections. ta bl e 4 2 : input library macros name inputs outputs ibufds_fd_lvds i, ib, c q ibufds_fde_lvds i, ib, ce, c q ibufds_fdc_lvds i, ib, c, clr q ibufds_fdce_lvds i, ib, ce, c, clr q ibufds_fdp_lvds i, ib, c, pre q ibufds_fdpe_lvds i, ib, ce, c, pre q ibufds_fdr_lvds i, ib, c, r q ibufds_fdre_lvds i, ib, ce, c, r q ibufds_fds_lvds i, ib, c, s q ibufds_fdse_lvds i, ib, ce, c, s q ibufds_ld_lvds i, ib, g q ibufds_lde_lvds i, ib, ge, g q ibufds_ldc_lvds i, ib, g, clr q ibufds_ldce_lvds i, ib, ge, g, clr q ibufds_ldp_lvds i, ib, g, pre q ibufds_ldpe_lvds i, ib, ge, g, pre q
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 50 production product specification creating lvds output 3-state buffers lvds output 3-state buffers can be placed in a wide number of iob locations. the exact locations are dependent on the package used. the virtex-e package information lists the possible locations as io_l#p for the p-side and io_l#n for the n-side, where # is the pair number. hdl instantiation both output 3-state buffers are required to be instantiated in the design and placed on the correct io_l#p and io_l#n locations. the iob must have the same net source the fol- lowing pins, clock (c), set/reset (sr), 3-state (t), 3-state clock enable (tce), output (o), output clock enable (oce). in addition, the output (o) pins must be inverted with respect to each other, and if output registers are used, the init states must be opposite values (one high and one low). if 3-state registers are used, they must be initialized to the same state. failure to follow these rules leads to drc errors in the software. vhdl instantiation data0_p: obuft_lvds port map (i=>data_int(0), t=>data_tri, o=>data_p(0)); data0_inv: inv port map (i=>data_int(0), o=>data_n_int(0)); data0_n: obuft_lvds port map (i=>data_n_int(0), t=>data_tri, o=>data_n(0)); verilog instantiation obuft_lvds data0_p (.i(data_int[0]), .t(data_tri), .o(data_p[0])); inv data0_inv (.i(data_int[0], .o(data_n_int[0]); obuft_lvds data0_n (.i(data_n_int[0]), .t(data_tri), .o(data_n[0])); location constraints all lvds buffers must be explicitly placed on a device. for the output buffers this can be done with the following con- straint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n synchronous vs. asynchronous 3-state outputs if the outputs are synchronous (registered in the iob), then any io_l#p|n pair can be used. if the outputs are asynchro- nous (no output register), then they must use one of the pairs that are part of the same iob group at the end of a row or column in the device. this applies for either the 3-state pin or the data out pin. lvds pairs that can be used as asynchronous outputs are listed in the virtex-e pinout tables. some pairs are marked as ?asynchronous capable? for all devices in that package, and others are marked as available only for that device in the package. if the device size might be changed at some point in the product lifetime, then only the common pairs for all packages should be used. adding output and 3-state registers all lvds buffers can have an output register in the iob. the output registers must be in both the p-side and n-side iobs. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly in stantiated in the hdl code. special care must be taken to insure that the d pins of the registers are inverted and that the init states of the regis- ters are opposite. the 3-state (t), 3-state clock enable (ce), clock pin (c), output clock enable (ce) and set/reset (clr/pre or s/r) pins must connect to the same source. failure to do this leads to a drc error in the software. ta bl e 4 3 : output library macros name inputs outputs obufds_fd_lvds d, c o, ob obufds_fde_lvds dd, ce, c o, ob obufds_fdc_lvds d, c, clr o, ob obufds_fdce_lvds d, ce, c, clr o, ob obufds_fdp_lvds d, c, pre o, ob obufds_fdpe_lvds d, ce, c, pre o, ob obufds_fdr_lvds d, c, r o, ob obufds_fdre_lvds d, ce, c, r o, ob obufds_fds_lvds d, c, s o, ob obufds_fdse_lvds d, ce, c, s o, ob obufds_ld_lvds d, g o, ob obufds_lde_lvds d, ge, g o, ob obufds_ldc_lvds d, g, clr o, ob obufds_ldce_lvds d, ge, g, clr o, ob obufds_ldp_lvds d, g, pre o, ob obufds_ldpe_lvds d, ge, g, pre o, ob
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 51 the register elements can be packed in the iob using the iob property to true on the register or by using the ?map -pr [i|o|b]? where ?i? is inputs only, ?o? is outputs only and ?b? is both inputs and outputs. to improve design coding times vhdl and verilog synthe- sis macro libraries have been developed to explicitly create these structures. the input library macros are listed below. the 3-state is configured to be 3-stated at gsr and when the pre,clr,s or r is asserted and shares it's clock enable with the output register. if this is not desirable then the library can be updated by the user for the desired func- tionality. the o and ob inputs to the macros are the exter- nal net connections. creating a lvds bidirectional buffer lvds bidirectional buffers can be placed in a wide number of iob locations. the exact locations are dependent on the package used. the virtex-e package information lists the possible locations as io_l#p for the p-side and io_l#n for the n-side, where # is the pair number. hdl instantiation both bidirectional buffers are required to be instantiated in the design and placed on the correct io_l#p and io_l#n locations. the iob must have the same net source the fol- lowing pins, clock (c), set/reset (sr), 3-state (t), 3-state clock enable (tce), output (o), output clock enable (oce). in addition, the output (o) pins must be inverted with respect to each other, and if output registers are used, the init states must be opposite values (one high and one low). if 3-state registers are used, they must be initialized to the same state. failure to follow these rules leads to drc errors in the software. vhdl instantiation data0_p: iobuf_lvds port map (i=>data_out(0), t=>data_tri, io=>data_p(0), o=>data_int(0)); data0_inv: inv port map (i=>data_out(0), o=>data_n_out(0)); data0_n : iobuf_lvds port map (i=>data_n_out(0), t=>data_tri, io=>data_n(0), o=>open); verilog instantiation iobuf_lvds data0_p(.i(data_out[0]), .t(data_tri), .io(data_p[0]), .o(data_int[0]); inv data0_inv (.i(data_out[0], .o(data_n_out[0]); iobuf_lvds data0_n(.i(data_n_out[0]),.t(data_tri),. io(data_n[0]).o()); location constraints all lvds buffers must be explicitly placed on a device. for the output buffers this can be done with the following con- straint in the .ucf or .ncf file. net data_p<0> loc = d28; # io_l0p net data_n<0> loc = b29; # io_l0n synchronous vs. asynchronous bidirectional buffers if the output side of the bidirectional buffers are synchro- nous (registered in the iob), then any io_l#p|n pair can be used. if the output side of the bidirectional buffers are asyn- chronous (no output register), then they must use one of the pairs that is a part of the asynchronous lvds iob group. this applies for either the 3-state pin or the data out pin. the lvds pairs that can be used as asynchronous bidirec- tional buffers are listed in the virtex-e pinout tables. some pairs are marked as asynchronous capable for all devices in that package, and others are marked as available only for that device in the package. if the device size might change at some point in the product?s lifetime, then only the com- mon pairs for all packages should be used. adding output and 3-state registers all lvds buffers can have an output and input registers in the iob. the output registers must be in both the p-side and n-side iobs, the input register is only in the p-side. all the normal iob register options are available (fd, fde, fdc, fdce, fdp, fdpe, fdr, fdre, fds, fdse, ld, lde, ldc, ldce, ldp, ldpe). the register elements can be inferred or explicitly instanti ated in the hdl code. special care must be taken to insure that the d pins of the registers are inverted and that the init states of the registers are opposite. the 3-state (t), 3-state clock enable (ce), clock pin (c), output clock enable (ce), and set/reset (clr/pre or s/r) pins must connect to the same source. failure to do this leads to a drc error in the software. the register elements can be packed in the iob using the iob property to true on the register or by using the ?map -pr [i|o|b]? where ?i? is inputs only, ?o? is outputs only and ?b? is both inputs and outputs. to improve design coding times vhdl and verilog synthesis macro libraries have been developed to explicitly create these structures. the bidirec- tional i/o library macros are listed in ta bl e 4 4 . the 3-state is configured to be 3-stated at gsr and when the pre,clr,s or r is asserted and shares its clock enable with the output and input register. if this is not desirable then the library can be updated be the user for the desired functionality. the i/o and iob inputs to the macros are the external net connec- tions.
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 52 production product specification revision history the following table shows the revision history for this document. ta bl e 4 4 : bidirectional i/o library macros name inputs bidirectional outputs iobufds_fd_lvds d, t, c io, iob q iobufds_fde_lvds d, t, ce, c io, iob q iobufds_fdc_lvds d, t, c, clr io, iob q iobufds_fdce_lvds d, t, ce, c, clr io, iob q iobufds_fdp_lvds d, t, c, pre io, iob q iobufds_fdpe_lvds d, t, ce, c, pre io, iob q iobufds_fdr_lvds d, t, c, r io, iob q iobufds_fdre_lvds d, t, ce, c, r io, iob q iobufds_fds_lvds d, t, c, s io, iob q iobufds_fdse_lvds d, t, ce, c, s io, iob q iobufds_ld_lvds d, t, g io, iob q iobufds_lde_lvds d, t, ge, g io, iob q iobufds_ldc_lvds d, t, g, clr io, iob q iobufds_ldce_lvds d, t, ge, g, clr io, iob q iobufds_ldp_lvds d, t, g, pre io, iob q iobufds_ldpe_lvds d, t, ge, g, pre io, iob q date version revision 12/7/99 1.0 initial xilinx release. 1/10/00 1.1 re-released with spd.txt v. 1.18, fg860/900/1156 package information, and additional dll, select ram and sele cti/o information. 1/28/00 1.2 added delay measurement methodology table, updated selecti/o section, figures 30, 54, & 55, text explaining table 5, t byp values, buffered hex line info, p. 8, i/o timing measurement notes, notes for tables 15, 16, and corrected f1156 pinout table footnote references. 2/29/00 1.3 updated pinout tables, v cc page 20, and corrected figure 20. 5/23/00 1.4 correction to table on p. 22. 7/10/00 1.5 ? numerous minor edits. ? data sheet upgraded to preliminary. ? preview -8 numbers added to virtex-e electrical characteristics tables. 8/1/00 1.6 ? reformatted entire document to follow new style guidelines. ? changed speed grade values in tables on pages 35-37.
virtex?-e 1.8 v field programmable gate arrays r ds022-2 (v2.8) january 16, 2006 www.xilinx.com module 2 of 4 production product specification 53 9/20/00 1.7 ? min values added to virtex-e electrical characteristics tables. ? xcv2600e and xcv3200e numbers added to virtex-e electrical characteristics tables (module 3). ? corrected user i/o count for xcv100e device in table 1 (module 1). ? changed several pins to ?no connect in the xcv100e? and removed duplicate v ccint pins in table ~ (module 4). ? changed pin j10 to ?no connect in xcv600e? in table 74 (module 4). ? changed pin j30 to ?vref option only in the xcv600e? in table 74 (module 4). ? corrected pair 18 in table 75 (module 4) to be ?ao in the xcv1000e, xcv1600e?. 11/20/00 1.8 ? upgraded speed grade -8 numbers in virtex-e electrical characteristics tables to preliminary. ? updated minimums in table 13 and added notes to table 14. ? added to note 2 to absolute maximum ratings . ? changed speed grade -8 numbers for t shcko32 , t reg , t bccs , and t ickof . ? changed all minimum hold times to ?0.4 under global clock set-up and hold for lvttl standard, with dll . ? revised maximum t dllpw in -6 speed grade for dll timing parameters . ? changed gclk0 to ba22 for fg860 package in table 46. 2/12/01 1.9 ? revised footnote for table 14. ? added numbers to virtex-e electrical characteristics tables for xcv1000e and xcv2000e devices. ? updated table 27 and table 78 to include values for xcv400e and xcv600e devices. ? revised table 62 to include pinout information for the xcv400e and xcv600e devices in the bg560 package. ? updated footnotes 1 and 2 for table 76 to include xcv2600e and xcv3200e devices. 4/02/01 2.0 ? updated numerous values in virtex-e switching characteristics tables. ? converted data sheet to modularized format. see the virtex-e data sheet section. 4/19/01 2.1 ? modified figure 30 "dll generation of 4x clock in virtex-e devices." 07/23/01 2.2 ? made minor edits to text under configuration . ? added clb column locations for xcv2600e anbd xcv3200e devices in ta bl e 3 . 11/09/01 2.3 ? added warning under configuration section that attempting to load an incorrect bitstream causes configuration to fail and can damage the device. 07/17/02 2.4 ? data sheet designation upgraded from preliminary to production. 09/10/02 2.5 ? added clarification to the input/output block , configuration , boundary scan mode , and block selectram sections. revised figure 18 , ta b l e 1 1 , and ta b l e 3 6 . 11/19/02 2.6 ? added clarification in the boundary scan section. ? removed last sentence regarding deactivation of duty-cycle correction in duty cycle correction property section. 06/15/04 2.6.1 ? updated clickable web addresses. 01/12/06 2.7 ? updated the slave-serial mode and the master-serial mode sections. 01/16/06 2.8 ? made minor updates to ta b l e 8 . date version revision
virtex?-e 1.8 v field programmable gate arrays r module 2 of 4 www.xilinx.com ds022-2 (v2.8) january 16, 2006 54 production product specification virtex-e data sheet the virtex-e data sheet contains the following modules: ? ds022-1, virtex-e 1.8v fpgas: introduction and ordering information (module 1) ? ds022-2, virtex-e 1.8v fpgas: functional description (module 2) ? ds022-3, virtex-e 1.8v fpgas: dc and switching characteristics (module 3) ? ds022-4, virtex-e 1.8v fpgas: pinout tables (module 4)


▲Up To Search▲   

 
Price & Availability of XCV100E-6BGG352I

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X